diff --git a/Rapport/Fibonnaci/fibonacci.png b/Rapport/Fibonnaci/fibonacci.png new file mode 100644 index 000000000..b092edb57 Binary files /dev/null and b/Rapport/Fibonnaci/fibonacci.png differ diff --git a/Rapport/Fibonnaci/fibonacci_cycles.png b/Rapport/Fibonnaci/fibonacci_cycles.png new file mode 100644 index 000000000..b092edb57 Binary files /dev/null and b/Rapport/Fibonnaci/fibonacci_cycles.png differ diff --git a/Rapport/Fibonnaci/fibonacci_temps.png b/Rapport/Fibonnaci/fibonacci_temps.png new file mode 100644 index 000000000..b092edb57 Binary files /dev/null and b/Rapport/Fibonnaci/fibonacci_temps.png differ diff --git a/Rapport/Fibonnaci/plot.py b/Rapport/Fibonnaci/plot.py index 9f1a93988..50df62bdd 100644 --- a/Rapport/Fibonnaci/plot.py +++ b/Rapport/Fibonnaci/plot.py @@ -3,7 +3,8 @@ from sklearn.linear_model import LinearRegression import numpy as np Y = [1100, 2150, 3200, 4250, 5300, 6350, 7400, 8450, 9500] -Y2 = [1100, 2150, 3200, 4250, 5300, 6350, 7400, 8450, 9500] +YO1 = [796, 1546, 2296, 3046, 3796, 4546, 5296, 6046, 6796] +YO3 = [764, 1514, 2264, 3014, 3764, 4514, 5264, 6014, 6764] Y_ARM = [912, 1736 , 2560 , 3352, 4216, 5304 , 5840, 6704, 7464] Y_ARMO3 = [173, 329, 480, 633, 789, 945, 1098, 1308, 1406] Y_gcc = [2296, 5119, 6715, 9078, 10830, 12541, 15041, 16780, 18883] @@ -13,49 +14,50 @@ f_RISCV1 = 50e6 f_RISCV2 = 100e6 f_ARM = 800e6 f_PC = 2400e6 -X = [] +X = [50, 100, 150, 200, 250, 300, 350, 400, 450] + +plt.scatter(X, Y, color="b", label="RISCV -O0") +plt.scatter(X, YO1, color="b", marker="x", label="RISCV -O1") +plt.scatter(X, YO3, color="b", marker="^", label="RISCV -O3") +plt.scatter(X, Y_ARM, color="g", label="ARM -O0") +plt.scatter(X, Y_ARMO3, color="g", marker="x",label="ARM -O3") +plt.scatter(X, Y_gcc, color="r", label="desktop -O0 2,4Ghz") +plt.scatter(X, Y_O3, color="r",marker="x", label="desktop -O3 2,4Ghz") + +plt.xlim([0, 500]) +plt.ylim([50, 20000]) +plt.legend() +plt.title("Cycles d'exécution en fonction de n_max") +plt.ylabel("Cycles") +plt.xlabel("N_max") +plt.show() +plt.savefig("fibonacci_cycles.png") for i in range(9) : Y[i] = (Y[i]*1e9)/f_RISCV1 - Y2[i] = (Y2[i]*1e9)/f_RISCV2 + YO1[i] = (YO1[i]*1e9)/f_RISCV1 + YO3[i] = (YO3[i]*1e9)/f_RISCV1 Y_ARM[i] = (Y_ARM[i]*1e9)/f_ARM Y_ARMO3[i] = (Y_ARMO3[i]*1e9)/f_ARM Y_gcc[i] = (Y_gcc[i]*1e9)/f_PC Y_O3[i] = (Y_O3[i]*1e9)/f_PC - X.append(50*(1+i)) - -plt.scatter(X, Y, color="b", marker="x", label="RISCV 50 MHz") -plt.scatter(X, Y2, color="g", marker="x", label="RISCV 100 MHz") -plt.scatter(X, Y_ARM, color="b", label="mesures ARM") -plt.scatter(X, Y_ARMO3, color="g", label="mesures ARM -O3") -plt.scatter(X, Y_gcc, color="b",marker="*", label="desktop -O0 2,4Ghz") -plt.scatter(X, Y_O3, color="g", marker="*",label="desktop -O3 2,4Ghz") - -x = np.array(X).reshape(-1, 1) -y = np.array(Y).reshape(-1, 1) -y2 = np.array(Y2).reshape(-1, 1) -reg = LinearRegression().fit(x, y) -reg2 = LinearRegression().fit(x, y2) -print("score obtenu : " + str(reg.score(x, y))) -print("score obtenu : " + str(reg.score(x, y2))) -print("attente à zéro : {}".format(reg.intercept_)) -print("attente à zéro : {}".format(reg2.intercept_)) - -x_lin = [0, max(X)] -y_lin = [reg.predict(np.array([0]).reshape(-1, 1)), reg.predict(np.array([x_lin[1]]).reshape(-1, 1))] -y_lin2 = [reg2.predict(np.array([0]).reshape(-1, 1)), reg2.predict(np.array([x_lin[1]]).reshape(-1, 1))] -y_lin = [y_lin[0][0][0], y_lin[1][0][0]] -y_lin2 = [y_lin2[0][0][0], y_lin2[1][0][0]] - -# plt.plot(x_lin, y_lin, color = "r", label="RegLin 50 score : {:.4f}".format(reg.score(x, y))) -# plt.plot(x_lin, y_lin2, color = "r") + + +plt.scatter(X, Y, color="b", label="RISCV -O0") +plt.scatter(X, YO1, color="b", marker="x", label="RISCV -O1") +plt.scatter(X, YO3, color="b", marker="^", label="RISCV -O3") +plt.scatter(X, Y_ARM, color="g", label="ARM -O0") +plt.scatter(X, Y_ARMO3, color="g", marker="x",label="ARM -O3") +plt.scatter(X, Y_gcc, color="r", label="desktop -O0 2,4Ghz") +plt.scatter(X, Y_O3, color="r",marker="x", label="desktop -O3 2,4Ghz") + plt.yscale("log") plt.xlim([0, 500]) -plt.ylim([0, 1000000]) +plt.ylim([50, 500000]) plt.legend() plt.title("Temps d'exécution en fonction de n_max") plt.ylabel("T (ns)") plt.xlabel("N_max") plt.show() -plt.savefig("M2_SETI/A2/fibonacci/linéaire.png") \ No newline at end of file +plt.savefig("fibonacci_temps.png") \ No newline at end of file diff --git a/bandwidth_test/main.bin b/bandwidth_test/main.bin new file mode 100644 index 000000000..0928c01b9 Binary files /dev/null and b/bandwidth_test/main.bin differ diff --git a/bandwidth_test/main.c b/bandwidth_test/main.c new file mode 100644 index 000000000..667b4ec64 --- /dev/null +++ b/bandwidth_test/main.c @@ -0,0 +1,105 @@ +// ################################################################################################# +// # << NEORV32 - "Hello World" Demo Program >> # +// # ********************************************************************************************* # +// # BSD 3-Clause License # +// # # +// # Copyright (c) 2022, Stephan Nolting. All rights reserved. # +// # # +// # Redistribution and use in source and binary forms, with or without modification, are # +// # permitted provided that the following conditions are met: # +// # # +// # 1. Redistributions of source code must retain the above copyright notice, this list of # +// # conditions and the following disclaimer. # +// # # +// # 2. Redistributions in binary form must reproduce the above copyright notice, this list of # +// # conditions and the following disclaimer in the documentation and/or other materials # +// # provided with the distribution. # +// # # +// # 3. Neither the name of the copyright holder nor the names of its contributors may be used to # +// # endorse or promote products derived from this software without specific prior written # +// # permission. # +// # # +// # THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS # +// # OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF # +// # MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE # +// # COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, # +// # EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE # +// # GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED # +// # AND ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING # +// # NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED # +// # OF THE POSSIBILITY OF SUCH DAMAGE. # +// # ********************************************************************************************* # +// # The NEORV32 Processor - https://github.com/stnolting/neorv32 (c) Stephan Nolting # +// ################################################################################################# + + +/**********************************************************************//** + * @file hello_world/main.c + * @author Stephan Nolting + * @brief Classic 'hello world' demo program. + **************************************************************************/ + +#include + + +/**********************************************************************//** + * @name User configuration + **************************************************************************/ +/**@{*/ +/** UART BAUD rate */ +#define BAUD_RATE 19200 +/**@}*/ + +void mult(uint32_t *n, uint32_t *y){ + uint32_t N = *n; + uint32_t x[N]; + uint32_t a = 10; + + for(uint32_t i=0; i show message if isa mismatch + + // say hello + neorv32_uart0_puts("Memory management cycles measure :\n"); + neorv32_uart0_printf("NEORV32: Freq = %u\n",NEORV32_SYSINFO.CLK); + + uint32_t y[2000]; + for(uint8_t i=1; i<10; i++){ + n_max = 200*i; + + Begin_Time = (long)neorv32_mtime_get_time(); + + for(uint32_t j=0; j<10; j++){ + mult(&n_max, y); + + } + End_Time = (long)neorv32_mtime_get_time(); + User_Time = End_Time - Begin_Time; + + neorv32_uart0_printf("NEORV32: mean cycles N = %u : %u\n",n_max, (uint32_t)User_Time/10); + } + neorv32_uart0_puts("end:\n"); + return 0; +} diff --git a/bandwidth_test/main.c.o b/bandwidth_test/main.c.o new file mode 100644 index 000000000..36f24628e Binary files /dev/null and b/bandwidth_test/main.c.o differ diff --git a/bandwidth_test/main.elf b/bandwidth_test/main.elf new file mode 100755 index 000000000..3e9d8ff66 Binary files /dev/null and b/bandwidth_test/main.elf differ diff --git a/bandwidth_test/makefile b/bandwidth_test/makefile new file mode 100644 index 000000000..f2c6623dc --- /dev/null +++ b/bandwidth_test/makefile @@ -0,0 +1,4 @@ +# Modify this variable to fit your NEORV32 setup (neorv32 home folder) +NEORV32_HOME ?= ../neorv32 + +include $(NEORV32_HOME)/sw/common/common.mk diff --git a/bandwidth_test/neorv32_exe.bin b/bandwidth_test/neorv32_exe.bin new file mode 100644 index 000000000..439bd7144 Binary files /dev/null and b/bandwidth_test/neorv32_exe.bin differ diff --git a/fibonacci/main.bin b/fibonacci/main.bin index ab659cb49..cfa477b5b 100644 Binary files a/fibonacci/main.bin and b/fibonacci/main.bin differ diff --git a/fibonacci/main.c b/fibonacci/main.c index 68558d0cf..4ed07f1da 100644 --- a/fibonacci/main.c +++ b/fibonacci/main.c @@ -91,17 +91,17 @@ int main() { neorv32_uart0_printf("NEORV32: Freq = %u\n",NEORV32_SYSINFO.CLK); for(uint8_t i=1; i<10; i++){ - n_max = 50; + n_max = 50*i; Begin_Time = (long)neorv32_mtime_get_time(); - for(uint32_t j=0; j<2*454546; j++){ + for(uint32_t j=0; j<10; j++){ fibonnaci(&n_max, &f); } End_Time = (long)neorv32_mtime_get_time(); User_Time = End_Time - Begin_Time; - - neorv32_uart0_printf("NEORV32: mean cycles n_max = %u : %u\n",n_max, (uint32_t)User_Time); + neorv32_uart0_printf("y last : %d \n", f); + neorv32_uart0_printf("NEORV32: mean cycles n_max = %u : %u\n",n_max, (uint32_t)User_Time/10); } neorv32_uart0_puts("end:\n"); return 0; diff --git a/fibonacci/main.c.o b/fibonacci/main.c.o index 5ce34da9f..7e4fc30b2 100644 Binary files a/fibonacci/main.c.o and b/fibonacci/main.c.o differ diff --git a/fibonacci/main.elf b/fibonacci/main.elf old mode 100644 new mode 100755 index 51a032d1b..f306d009c Binary files a/fibonacci/main.elf and b/fibonacci/main.elf differ diff --git a/fibonacci/makefile b/fibonacci/makefile index 8f9e2fdc0..f2c6623dc 100644 --- a/fibonacci/makefile +++ b/fibonacci/makefile @@ -1,4 +1,4 @@ # Modify this variable to fit your NEORV32 setup (neorv32 home folder) -NEORV32_HOME ?= ../../.. +NEORV32_HOME ?= ../neorv32 include $(NEORV32_HOME)/sw/common/common.mk diff --git a/fibonacci/neorv32_exe.bin b/fibonacci/neorv32_exe.bin index 12378d04f..97e6bc3ff 100644 Binary files a/fibonacci/neorv32_exe.bin and b/fibonacci/neorv32_exe.bin differ diff --git a/neorv32/rtl/core/neorv32_application_image.vhd b/neorv32/rtl/core/neorv32_application_image.vhd index 07b27faf9..8117f5cb3 100644 --- a/neorv32/rtl/core/neorv32_application_image.vhd +++ b/neorv32/rtl/core/neorv32_application_image.vhd @@ -1,8 +1,8 @@ -- The NEORV32 RISC-V Processor: https://github.com/stnolting/neorv32 --- Auto-generated memory initialization file (for APPLICATION) from source file --- Size: 1076 bytes +-- Auto-generated memory initialization file (for APPLICATION) from source file +-- Size: 4660 bytes -- MARCH: default --- Built: 08.02.2023 15:39:16 +-- Built: 08.03.2023 15:12:08 -- prototype defined in 'neorv32_package.vhd' package body neorv32_application_image is @@ -11,7 +11,7 @@ constant application_init_image : mem32_t := ( x"30005073", x"30401073", x"00000097", -x"12408093", +x"13408093", x"30509073", x"80002117", x"fe810113", @@ -39,11 +39,12 @@ x"00000e13", x"00000e93", x"00000f13", x"00000f93", -x"43400593", +x"00001597", +x"1b858593", x"80000617", -x"f8060613", +x"f7c60613", x"80000697", -x"f7868693", +x"f7468693", x"00c58e63", x"00d65c63", x"0005a703", @@ -52,15 +53,16 @@ x"00458593", x"00460613", x"fedff06f", x"80000717", -x"f5470713", -x"80000797", -x"f4c78793", +x"f5070713", +x"87418793", x"00f75863", x"00072023", x"00470713", x"ff5ff06f", -x"43400413", -x"43400493", +x"00001417", +x"db840413", +x"00001497", +x"db048493", x"00945a63", x"00042083", x"000080e7", @@ -68,11 +70,13 @@ x"00440413", x"ff1ff06f", x"00000513", x"00000593", -x"088000ef", +x"090000ef", x"30401073", x"34051073", -x"43400413", -x"43400493", +x"00001417", +x"d8040413", +x"00001497", +x"d7848493", x"00945a63", x"00042083", x"000080e7", @@ -102,181 +106,1073 @@ x"00012403", x"00412483", x"00810113", x"30200073", -x"ff010113", -x"00000513", +x"fc010113", +x"02112e23", +x"02812c23", +x"02912a23", +x"04010413", +x"03412423", +x"03512223", +x"03212823", +x"03312623", +x"03612023", +x"01712e23", +x"720000ef", +x"00005537", +x"00000613", x"00000593", -x"00112623", -x"00812423", -x"120000ef", +x"b0050513", +x"149000ef", x"00000513", -x"00150413", -x"00000593", -x"0ff57513", -x"10c000ef", -x"0fa00513", -x"020000ef", -x"00040513", -x"fe5ff06f", -x"c80027f3", -x"c0002573", -x"c80025f3", +x"75c000ef", +x"00001537", +x"e8450513", +x"1f9000ef", +x"fe002583", +x"00001537", +x"ea850513", +x"241000ef", +x"0c800493", +x"00001ab7", +x"7d000a13", +x"00249793", +x"00f78793", +x"ff07f793", +x"00010b13", +x"40f10133", +x"fc942623", +x"0e4000ef", +x"00010b93", +x"00050913", +x"00a00993", +x"000b8593", +x"fcc40513", +x"fff98993", +x"068000ef", +x"fe0998e3", +x"0c0000ef", +x"41250633", +x"00048593", +x"ebca8513", +x"0c848493", +x"1e1000ef", +x"000b0113", +x"fb4494e3", +x"00001537", +x"ee450513", +x"175000ef", +x"fc040113", +x"03c12083", +x"03812403", +x"03412483", +x"03012903", +x"02c12983", +x"02812a03", +x"02412a83", +x"02012b03", +x"01c12b83", +x"00000513", +x"04010113", +x"00008067", +x"ff010113", +x"00812623", +x"01010413", +x"00052683", +x"00269693", +x"00f68793", +x"ff07f793", +x"40f10133", +x"00010513", +x"00000793", +x"00f69a63", +x"ff040113", +x"00c12403", +x"01010113", +x"00008067", +x"00f50733", +x"00072603", +x"00f58833", +x"00478793", +x"00261713", +x"00c70733", +x"00171713", +x"00e82023", +x"fcdff06f", +x"f9402583", +x"f9002503", +x"f9402783", x"fef59ae3", x"00008067", +x"fb010113", +x"04112623", +x"04512423", +x"04612223", +x"04712023", +x"02812e23", +x"02a12c23", +x"02b12a23", +x"02c12823", +x"02d12623", +x"02e12423", +x"02f12223", +x"03012023", +x"01112e23", +x"01c12c23", +x"01d12a23", +x"01e12823", +x"01f12623", +x"34202473", +x"00b00793", +x"0287ea63", +x"00001737", +x"00241793", +x"eec70713", +x"00e787b3", +x"0007a783", +x"00078067", +x"00001737", +x"00279793", +x"f1c70713", +x"00e787b3", +x"0007a783", +x"00078067", +x"800007b7", +x"ffd7c793", +x"00f407b3", +x"01c00713", +x"fcf77ce3", +x"228000ef", +x"00045a63", +x"0c00006f", +x"800007b7", +x"0007a783", +x"000780e7", +x"34102773", +x"00075783", +x"01079793", +x"0107d793", +x"00470613", +x"301026f3", +x"0046f693", +x"00068a63", +x"0037f793", +x"00300693", +x"00d78463", +x"00270613", +x"34161073", +x"07c0006f", +x"800007b7", +x"0047a783", +x"fbdff06f", +x"800007b7", +x"0087a783", +x"fb1ff06f", +x"800007b7", +x"00c7a783", +x"fa5ff06f", +x"800007b7", +x"0107a783", +x"f99ff06f", +x"800007b7", +x"0147a783", +x"f8dff06f", +x"800007b7", +x"0187a783", +x"f81ff06f", +x"800007b7", +x"01c7a783", +x"f75ff06f", +x"800007b7", +x"0207a783", +x"f69ff06f", +x"800007b7", +x"0247a783", +x"f5dff06f", +x"800007b7", +x"0287a783", +x"000780e7", +x"03c12403", +x"04c12083", +x"04812283", +x"04412303", +x"04012383", +x"03812503", +x"03412583", +x"03012603", +x"02c12683", +x"02812703", +x"02412783", +x"02012803", +x"01c12883", +x"01812e03", +x"01412e83", +x"01012f03", +x"00c12f83", +x"05010113", +x"30200073", +x"800007b7", +x"02c7a783", +x"fa9ff06f", +x"800007b7", +x"0307a783", +x"f9dff06f", +x"800007b7", +x"0347a783", +x"f91ff06f", +x"800007b7", +x"0387a783", +x"f85ff06f", +x"800007b7", +x"03c7a783", +x"f79ff06f", +x"8401a783", +x"f71ff06f", +x"8441a783", +x"f69ff06f", +x"8481a783", +x"f61ff06f", +x"84c1a783", +x"f59ff06f", +x"8501a783", +x"f51ff06f", +x"8541a783", +x"f49ff06f", +x"8581a783", +x"f41ff06f", +x"85c1a783", +x"f39ff06f", +x"8601a783", +x"f31ff06f", +x"8641a783", +x"f29ff06f", +x"8681a783", +x"f21ff06f", +x"86c1a783", +x"f19ff06f", +x"8701a783", +x"f11ff06f", +x"fe010113", +x"01212823", +x"00050913", +x"00001537", +x"00912a23", +x"f9050513", +x"000014b7", +x"00812c23", +x"01312623", +x"00112e23", +x"01c00413", +x"64c000ef", +x"20448493", +x"ffc00993", +x"008957b3", +x"00f7f793", +x"00f487b3", +x"0007c503", +x"ffc40413", +x"614000ef", +x"ff3414e3", +x"01c12083", +x"01812403", +x"01412483", +x"01012903", +x"00c12983", +x"02010113", +x"00008067", x"fe010113", -x"00a12623", -x"fe002503", -x"3e800593", x"00112e23", x"00812c23", x"00912a23", -x"1a4000ef", -x"00c12603", -x"00000693", -x"00000593", -x"100000ef", -x"00050413", -x"00058493", -x"fc0027f3", -x"0807f793", -x"04078463", -x"320027f3", +x"01212823", +x"01312623", +x"51c000ef", +x"2a050063", +x"00001537", +x"f9450513", +x"5e0000ef", +x"342024f3", +x"00b00793", +x"00001437", +x"0097ee63", +x"00001737", +x"00249793", +x"14c70713", +x"00e787b3", +x"0007a783", +x"00078067", +x"800007b7", +x"00b78713", +x"22e48863", +x"04976463", +x"00378713", +x"1ee48c63", +x"00778793", +x"20f48863", +x"00001537", +x"0ec50513", +x"58c000ef", +x"00048513", +x"f0dff0ef", +x"fbc40513", +x"57c000ef", +x"34102473", +x"00040513", +x"ef9ff0ef", +x"00200793", +x"0cf48e63", +x"0380006f", +x"ff07c793", +x"00f487b3", +x"00f00713", +x"fcf760e3", +x"00001537", +x"0e050513", +x"548000ef", +x"00f4f513", +x"ec9ff0ef", +x"fbc40513", +x"538000ef", +x"34102573", +x"eb9ff0ef", +x"1004c663", +x"0200006f", +x"00001537", +x"f9c50513", +x"51c000ef", +x"fbc40513", +x"514000ef", +x"34102573", +x"e95ff0ef", +x"00001537", +x"14450513", +x"500000ef", +x"34302573", +x"1680006f", +x"00001537", +x"fc450513", +x"4ec000ef", +x"f7802783", +x"1807d063", x"0017f793", -x"02079e63", -x"f9dff0ef", -x"00850433", -x"00a43533", -x"009585b3", -x"00b504b3", -x"f89ff0ef", -x"fe95eee3", -x"00b49463", -x"fe856ae3", +x"16078663", +x"00001537", +x"10450513", +x"4d0000ef", +x"fbc40513", +x"4c8000ef", +x"34102473", +x"00040513", +x"e45ff0ef", +x"00200793", +x"02f48463", +x"fa9ff06f", +x"00001537", +x"fe050513", +x"4a4000ef", +x"fbc40513", +x"49c000ef", +x"34102473", +x"00040513", +x"e19ff0ef", +x"00001537", +x"13050513", +x"484000ef", +x"00045483", +x"01049493", +x"0104d493", +x"00240513", +x"00055503", +x"00300793", +x"01051513", +x"0034f713", +x"01055513", +x"10f70263", +x"00001537", +x"f9050513", +x"00001937", +x"44c000ef", +x"00c00413", +x"20490913", +x"ffc00993", +x"4084d7b3", +x"00f7f793", +x"00f907b3", +x"0007c503", +x"ffc40413", +x"410000ef", +x"ff3414e3", +x"01812403", +x"01c12083", +x"01412483", +x"01012903", +x"00c12983", +x"00001537", +x"13850513", +x"02010113", +x"4000006f", +x"00001537", +x"ff450513", +x"ed9ff06f", +x"00001537", +x"00050513", +x"ecdff06f", +x"00001537", +x"01850513", +x"ef1ff06f", +x"00001537", +x"02c50513", +x"eb5ff06f", +x"00001537", +x"04850513", +x"ed9ff06f", +x"00001537", +x"05c50513", +x"e9dff06f", +x"00001537", +x"07c50513", +x"e91ff06f", +x"00001537", +x"09c50513", +x"3a0000ef", +x"fbc40513", +x"398000ef", +x"34102573", +x"d19ff0ef", +x"f6dff06f", +x"00001537", +x"0b450513", +x"fe1ff06f", +x"00001537", +x"0c850513", +x"fd5ff06f", +x"00001537", +x"11450513", +x"e99ff06f", +x"00001537", +x"12450513", +x"e8dff06f", +x"01051513", +x"00956533", +x"fc1ff06f", x"01c12083", x"01812403", x"01412483", +x"01012903", +x"00c12983", x"02010113", x"00008067", -x"fe802783", -x"00020737", -x"00e7f7b3", -x"02078663", -x"05c000ef", -x"00850433", -x"00a43533", -x"009585b3", -x"00b504b3", -x"048000ef", -x"fe95eee3", -x"fcb490e3", -x"fe856ae3", -x"fb9ff06f", -x"01c49493", -x"00445413", -x"0084e433", -x"00040a63", -x"00040863", -x"fff40413", -x"00000013", -x"ff1ff06f", -x"f95ff06f", -x"fc000793", -x"00a7a423", -x"00b7a623", +x"01f00793", +x"02a7e263", +x"800007b7", +x"00251513", +x"00078793", +x"00a787b3", +x"5cc00713", +x"00e7a023", +x"00000513", x"00008067", -x"f9402583", -x"f9002503", -x"f9402783", -x"fef59ae3", +x"00100513", +x"00008067", +x"ff010113", +x"00112623", +x"00812423", +x"00912223", +x"30c00793", +x"30579073", +x"00000793", +x"30479073", +x"34479073", +x"f6002c23", +x"00000413", +x"01d00493", +x"00040513", +x"00140413", +x"0ff47413", +x"f95ff0ef", +x"fe9418e3", +x"00c12083", +x"00812403", +x"00412483", +x"01010113", +x"00008067", +x"30102673", +x"400005b7", +x"10058593", +x"00b677b3", +x"04b78263", +x"04051463", +x"fe010113", +x"00c12623", +x"00112e23", +x"1b0000ef", +x"400007b7", +x"00c12603", +x"10078593", +x"00050863", +x"00001537", +x"17c50513", +x"2c0000ef", +x"01c12083", +x"00100513", +x"02010113", x"00008067", -x"00050613", x"00000513", -x"0015f693", -x"00068463", -x"00c50533", -x"0015d593", -x"00161613", -x"fe0596e3", x"00008067", -x"00050e13", +x"00100513", +x"00008067", +x"fd010113", +x"02812423", +x"02912223", +x"03212023", +x"01312e23", +x"01412c23", +x"02112623", +x"01512a23", +x"00001a37", +x"00050493", +x"00058413", +x"00058523", +x"00000993", +x"00410913", +x"228a0a13", +x"00a00593", +x"00048513", +x"464000ef", +x"00aa0533", +x"00054783", +x"01390ab3", +x"00048513", +x"00fa8023", +x"00a00593", +x"41c000ef", +x"00198993", +x"00a00793", +x"00050493", +x"fcf996e3", +x"00090693", +x"00900713", +x"03000613", +x"0096c583", +x"00070793", +x"fff70713", +x"01071713", +x"01075713", +x"00c59a63", +x"000684a3", +x"fff68693", +x"fe0710e3", +x"00000793", +x"00f907b3", +x"00000713", +x"0007c683", +x"00068c63", +x"00170613", +x"00e40733", +x"00d70023", +x"01061713", +x"01075713", +x"fff78693", +x"02f91863", +x"00e40433", +x"00040023", +x"02c12083", +x"02812403", +x"02412483", +x"02012903", +x"01c12983", +x"01812a03", +x"01412a83", +x"03010113", +x"00008067", +x"00068793", +x"fadff06f", +x"00001637", +x"00758693", +x"00000713", +x"21460613", +x"02000813", +x"00e557b3", +x"00f7f793", +x"00f607b3", +x"0007c783", +x"00470713", +x"fff68693", +x"00f680a3", +x"ff0712e3", +x"00058423", +x"00008067", +x"00b50693", +x"01900613", +x"00054783", +x"f9f78713", +x"0ff77713", +x"00e66663", +x"fe078793", +x"00f50023", +x"00150513", +x"fea692e3", +x"00008067", +x"fe802503", +x"01255513", +x"00157513", +x"00008067", x"ff010113", -x"00068313", +x"00812423", +x"00912223", x"00112623", -x"00060513", -x"000e0893", -x"00060693", +x"fa002023", +x"fe002783", +x"00058413", +x"00151593", +x"00078513", +x"00060493", +x"2d4000ef", +x"01051513", +x"000017b7", +x"01055513", x"00000713", -x"00000793", -x"00000813", -x"0016fe93", -x"00171613", -x"000e8a63", -x"01088833", -x"00e787b3", -x"01183733", -x"00f707b3", -x"01f8d713", -x"0016d693", -x"00e66733", -x"00189893", -x"fc069ae3", -x"00058663", -x"f81ff0ef", -x"00a787b3", -x"00030a63", -x"000e0513", -x"00030593", -x"f6dff0ef", -x"00f507b3", +x"ffe78793", +x"04a7e463", +x"0034f493", +x"01449493", +x"00347413", +x"fff50793", +x"0097e7b3", +x"01641413", +x"0087e7b3", +x"01871713", x"00c12083", -x"00080513", -x"00078593", +x"00812403", +x"00e7e7b3", +x"10000737", +x"00e7e7b3", +x"faf02023", +x"00412483", x"01010113", x"00008067", -x"06054063", -x"0605c663", -x"00058613", -x"00050593", -x"fff00513", -x"02060c63", -x"00100693", -x"00b67a63", -x"00c05863", -x"00161613", -x"00169693", -x"feb66ae3", -x"00000513", -x"00c5e663", -x"40c585b3", -x"00d56533", -x"0016d693", -x"00165613", -x"fe0696e3", +x"ffe70693", +x"0fd6f693", +x"00069a63", +x"00355513", +x"00170713", +x"0ff77713", +x"fa1ff06f", +x"00155513", +x"ff1ff06f", +x"00040737", +x"fa002783", +x"00e7f7b3", +x"fe079ce3", +x"faa02223", +x"00008067", +x"ff010113", +x"00812423", +x"01212023", +x"00112623", +x"00912223", +x"00050413", +x"00a00913", +x"00044483", +x"00140413", +x"00049e63", +x"00c12083", +x"00812403", +x"00412483", +x"00012903", +x"01010113", +x"00008067", +x"01249663", +x"00d00513", +x"fa1ff0ef", +x"00048513", +x"f99ff0ef", +x"fc9ff06f", +x"fa010113", +x"04f12a23", +x"04410793", +x"02912a23", +x"03212823", +x"03312623", +x"03412423", +x"03512223", +x"03612023", +x"01712e23", +x"01812c23", +x"01912a23", +x"02112e23", +x"02812c23", +x"00050493", +x"04b12223", +x"04c12423", +x"04d12623", +x"04e12823", +x"05012c23", +x"05112e23", +x"00f12023", +x"02500a13", +x"00a00a93", +x"07000913", +x"07500b13", +x"07800b93", +x"07300c13", +x"06300993", +x"06400c93", +x"0004c403", +x"02041c63", +x"03c12083", +x"03812403", +x"03412483", +x"03012903", +x"02c12983", +x"02812a03", +x"02412a83", +x"02012b03", +x"01c12b83", +x"01812c03", +x"01412c83", +x"06010113", x"00008067", -x"00008293", -x"fb5ff0ef", -x"00058513", -x"00028067", +x"11441463", +x"0014c403", +x"0f240263", +x"06896263", +x"09340463", +x"0089ee63", +x"05800793", +x"0af40663", +x"02500513", +x"eb9ff0ef", +x"00040513", +x"07c0006f", +x"01940663", +x"06900793", +x"fef414e3", +x"00012783", +x"0007a403", +x"00478713", +x"00e12023", +x"00045863", +x"02d00513", +x"40800433", +x"e85ff0ef", +x"00410593", +x"00040513", +x"c4dff0ef", +x"00410513", +x"0200006f", +x"05640063", +x"07740c63", +x"fb8414e3", +x"00012783", +x"0007a503", +x"00478713", +x"00e12023", +x"e69ff0ef", +x"00248493", +x"f31ff06f", +x"00012783", +x"0007c503", +x"00478713", +x"00e12023", +x"e35ff0ef", +x"fe5ff06f", +x"00012783", +x"00410593", +x"00478713", +x"0007a503", +x"00e12023", +x"fa1ff06f", +x"00012783", +x"00410593", +x"0007a503", +x"00478713", +x"00e12023", +x"cddff0ef", +x"00410513", +x"d11ff0ef", +x"f81ff06f", +x"00012783", +x"00410593", +x"0007a503", +x"00478713", +x"00e12023", +x"cb9ff0ef", +x"f65ff06f", +x"01541663", +x"00d00513", +x"dcdff0ef", +x"00040513", +x"00148493", +x"dc1ff0ef", +x"ea5ff06f", +x"02054e63", +x"0405c363", +x"85aa862e", +x"c215557d", +x"78634685", +x"566300b6", +x"060600c0", +x"6ce30686", +x"4501feb6", +x"00c5e463", +x"8d558d91", +x"82058285", +x"8082faf5", +x"3fc98286", +x"8282852e", x"40a00533", -x"00b04863", +x"00b04763", x"40b005b3", -x"f9dff06f", -x"40b005b3", -x"00008293", -x"f91ff0ef", -x"40a00533", -x"00028067", -x"00008293", -x"0005ca63", -x"00054c63", -x"f79ff0ef", -x"00058513", -x"00028067", +x"05b3b7c1", +x"828640b0", +x"05333f65", +x"828240a0", +x"c7638286", +x"49630005", +x"375d0005", +x"8282852e", x"40b005b3", -x"fe0558e3", +x"fe055be3", x"40a00533", -x"f61ff0ef", -x"40b00533", -x"00028067" +x"05333f51", +x"828240b0", +x"00000000", +x"6f6d654d", +x"6d207972", +x"67616e61", +x"6e656d65", +x"79632074", +x"73656c63", +x"61656d20", +x"65727573", +x"000a3a20", +x"524f454e", +x"3a323356", +x"65724620", +x"203d2071", +x"000a7525", +x"524f454e", +x"3a323356", +x"61656d20", +x"7963206e", +x"73656c63", +x"3d204e20", +x"20752520", +x"2020203a", +x"25202020", +x"00000a75", +x"3a646e65", +x"0000000a", +x"000003b0", +x"000003f4", +x"00000400", +x"0000040c", +x"00000418", +x"00000424", +x"00000430", +x"0000043c", +x"00000448", +x"000003a4", +x"000003a4", +x"00000454", +x"00000460", +x"000003a4", +x"000003a4", +x"000003a4", +x"000004b8", +x"000003a4", +x"000003a4", +x"000003a4", +x"000004c4", +x"000003a4", +x"000003a4", +x"000003a4", +x"000003a4", +x"000004d0", +x"000004dc", +x"000004e8", +x"000004f4", +x"000004fc", +x"00000504", +x"0000050c", +x"00000514", +x"0000051c", +x"00000524", +x"0000052c", +x"00000534", +x"0000053c", +x"00000544", +x"0000054c", +x"00000554", +x"00007830", +x"4554523c", +x"0000203e", +x"74736e49", +x"74637572", +x"206e6f69", +x"72646461", +x"20737365", +x"6173696d", +x"6e67696c", +x"00006465", +x"50204020", +x"00003d43", +x"74736e49", +x"74637572", +x"206e6f69", +x"65636361", +x"66207373", +x"746c7561", +x"00000000", +x"656c6c49", +x"206c6167", +x"74736e69", +x"74637572", +x"006e6f69", +x"61657242", +x"696f706b", +x"0000746e", +x"64616f4c", +x"64646120", +x"73736572", +x"73696d20", +x"67696c61", +x"0064656e", +x"64616f4c", +x"63636120", +x"20737365", +x"6c756166", +x"00000074", +x"726f7453", +x"64612065", +x"73657264", +x"696d2073", +x"696c6173", +x"64656e67", +x"00000000", +x"726f7453", +x"63612065", +x"73736563", +x"75616620", +x"0000746c", +x"69766e45", +x"6d6e6f72", +x"20746e65", +x"6c6c6163", +x"6f726620", +x"2d55206d", +x"65646f6d", +x"00000000", +x"69766e45", +x"6d6e6f72", +x"20746e65", +x"6c6c6163", +x"6f726620", +x"2d4d206d", +x"65646f6d", +x"00000000", +x"6863614d", +x"20656e69", +x"74666f73", +x"65726177", +x"51524920", +x"00000000", +x"6863614d", +x"20656e69", +x"656d6974", +x"52492072", +x"00000051", +x"6863614d", +x"20656e69", +x"65747865", +x"6c616e72", +x"51524920", +x"00000000", +x"74736146", +x"51524920", +x"00000020", +x"6e6b6e55", +x"206e776f", +x"70617274", +x"75616320", +x"203a6573", +x"00000000", +x"49545b20", +x"554f454d", +x"52455f54", +x"00005d52", +x"45445b20", +x"45434956", +x"5252455f", +x"0000005d", +x"4d505b20", +x"52455f50", +x"00005d52", +x"4e49202c", +x"003d5453", +x"522f3c20", +x"0a3e4554", +x"00000000", +x"4441202c", +x"003d5244", +x"000006b0", +x"000006e0", +x"00000728", +x"000007d8", +x"000007e4", +x"000007f0", +x"000007fc", +x"00000808", +x"00000814", +x"00000640", +x"00000640", +x"00000820", +x"5241570a", +x"474e494e", +x"57532021", +x"4153495f", +x"65662820", +x"72757461", +x"72207365", +x"69757165", +x"29646572", +x"20737620", +x"495f5748", +x"28204153", +x"74616566", +x"73657275", +x"61766120", +x"62616c69", +x"2029656c", +x"6d73696d", +x"68637461", +x"57530a21", +x"4153495f", +x"30203d20", +x"20782578", +x"6d6f6328", +x"656c6970", +x"6c662072", +x"29736761", +x"5f57480a", +x"20415349", +x"7830203d", +x"28207825", +x"6173696d", +x"72736320", +x"000a0a29", +x"33323130", +x"37363534", +x"42413938", +x"46454443", +x"33323130", +x"37363534", +x"62613938", +x"66656463", +x"00000000", +x"33323130", +x"37363534", +x"00003938" ); end neorv32_application_image; diff --git a/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd b/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd index 20ce68df0..7d0740cb1 100644 --- a/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd +++ b/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd @@ -43,8 +43,8 @@ entity neorv32_test_setup_bootloader is generic ( -- adapt these for your setup -- CLOCK_FREQUENCY : natural := 50000000; -- clock frequency of clk_i in Hz - MEM_INT_IMEM_SIZE : natural := 16*1024; -- size of processor-internal instruction memory in bytes - MEM_INT_DMEM_SIZE : natural := 8*1024 -- size of processor-internal data memory in bytes + MEM_INT_IMEM_SIZE : natural := 32*1024; -- size of processor-internal instruction memory in bytes + MEM_INT_DMEM_SIZE : natural := 32*1024 -- size of processor-internal data memory in bytes ); port ( -- Global control -- diff --git a/proj_quartus/db/.cmp.kpt b/proj_quartus/db/.cmp.kpt index 83cd72a0c..f49ae4a7e 100644 Binary files a/proj_quartus/db/.cmp.kpt and b/proj_quartus/db/.cmp.kpt differ diff --git a/proj_quartus/db/altsyncram_s6q1.tdf b/proj_quartus/db/altsyncram_s6q1.tdf new file mode 100644 index 000000000..27b42e667 --- /dev/null +++ b/proj_quartus/db/altsyncram_s6q1.tdf @@ -0,0 +1,284 @@ +--altsyncram ACF_BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES="CARE" ADDRESS_ACLR_A="NONE" ADDRESS_ACLR_B="NONE" ADDRESS_REG_B="CLOCK0" CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" CYCLONEII_M4K_COMPATIBILITY="ON" DEVICE_FAMILY="Cyclone V" INDATA_ACLR_A="NONE" LOW_POWER_MODE="AUTO" NUMWORDS_A=8192 NUMWORDS_B=8192 OPERATION_MODE="DUAL_PORT" OUTDATA_ACLR_B="NONE" OUTDATA_REG_B="UNREGISTERED" RDCONTROL_REG_B="CLOCK0" READ_DURING_WRITE_MODE_MIXED_PORTS="OLD_DATA" WIDTH_A=8 WIDTH_B=8 WIDTHAD_A=13 WIDTHAD_B=13 WRCONTROL_ACLR_A="NONE" address_a address_b clock0 data_a q_b rden_b wren_a CARRY_CHAIN="MANUAL" CARRY_CHAIN_LENGTH=48 +--VERSION_BEGIN 22.1 cbx_altera_syncram_nd_impl 2022:10:25:15:32:10:SC cbx_altsyncram 2022:10:25:15:32:10:SC cbx_cycloneii 2022:10:25:15:32:10:SC cbx_lpm_add_sub 2022:10:25:15:32:10:SC cbx_lpm_compare 2022:10:25:15:32:10:SC cbx_lpm_decode 2022:10:25:15:32:10:SC cbx_lpm_mux 2022:10:25:15:32:10:SC cbx_mgl 2022:10:25:15:42:35:SC cbx_nadder 2022:10:25:15:32:10:SC cbx_stratix 2022:10:25:15:32:10:SC cbx_stratixii 2022:10:25:15:32:10:SC cbx_stratixiii 2022:10:25:15:32:10:SC cbx_stratixv 2022:10:25:15:32:10:SC cbx_util_mgl 2022:10:25:15:32:10:SC VERSION_END + + +-- Copyright (C) 2022 Intel Corporation. All rights reserved. +-- Your use of Intel Corporation's design tools, logic functions +-- and other software and tools, and any partner logic +-- functions, and any output files from any of the foregoing +-- (including device programming or simulation files), and any +-- associated documentation or information are expressly subject +-- to the terms and conditions of the Intel Program License +-- Subscription Agreement, the Intel Quartus Prime License Agreement, +-- the Intel FPGA IP License Agreement, or other applicable license +-- agreement, including, without limitation, that your use is for +-- the sole purpose of programming logic devices manufactured by +-- Intel and sold by Intel or its authorized distributors. Please +-- refer to the applicable agreement for further details, at +-- https://fpgasoftware.intel.com/eula. + + +FUNCTION cyclonev_ram_block (clk0, clk1, clr0, clr1, ena0, ena1, ena2, ena3, portaaddr[PORT_A_ADDRESS_WIDTH-1..0], portaaddrstall, portabyteenamasks[PORT_A_BYTE_ENABLE_MASK_WIDTH-1..0], portadatain[PORT_A_DATA_WIDTH-1..0], portare, portawe, portbaddr[PORT_B_ADDRESS_WIDTH-1..0], portbaddrstall, portbbyteenamasks[PORT_B_BYTE_ENABLE_MASK_WIDTH-1..0], portbdatain[PORT_B_DATA_WIDTH-1..0], portbre, portbwe) +WITH ( CLK0_CORE_CLOCK_ENABLE, CLK0_INPUT_CLOCK_ENABLE, CLK0_OUTPUT_CLOCK_ENABLE, CLK1_CORE_CLOCK_ENABLE, CLK1_INPUT_CLOCK_ENABLE, CLK1_OUTPUT_CLOCK_ENABLE, CONNECTIVITY_CHECKING, DATA_INTERLEAVE_OFFSET_IN_BITS, DATA_INTERLEAVE_WIDTH_IN_BITS, DONT_POWER_OPTIMIZE, ENABLE_ECC, INIT_FILE, INIT_FILE_LAYOUT, LOGICAL_RAM_NAME, mem_init0, mem_init1, mem_init10, mem_init11, mem_init12, mem_init13, mem_init14, mem_init15, mem_init16, mem_init17, mem_init18, mem_init19, mem_init2, mem_init20, mem_init21, mem_init22, mem_init23, mem_init24, mem_init25, mem_init26, mem_init27, mem_init28, mem_init29, mem_init3, mem_init30, mem_init31, mem_init32, mem_init33, mem_init34, mem_init35, mem_init36, mem_init37, mem_init38, mem_init39, mem_init4, mem_init40, mem_init41, mem_init42, mem_init43, mem_init44, mem_init45, mem_init46, mem_init47, mem_init48, mem_init49, mem_init5, mem_init50, mem_init51, mem_init52, mem_init53, mem_init54, mem_init55, mem_init56, mem_init57, mem_init58, mem_init59, mem_init6, mem_init60, mem_init61, mem_init62, mem_init63, mem_init64, mem_init65, mem_init66, mem_init67, mem_init68, mem_init69, mem_init7, mem_init70, mem_init71, mem_init8, mem_init9, MIXED_PORT_FEED_THROUGH_MODE, OPERATION_MODE, PORT_A_ADDRESS_CLEAR, PORT_A_ADDRESS_WIDTH = 1, PORT_A_BYTE_ENABLE_MASK_WIDTH = 1, PORT_A_BYTE_SIZE, PORT_A_DATA_OUT_CLEAR, PORT_A_DATA_OUT_CLOCK, PORT_A_DATA_WIDTH = 1, PORT_A_FIRST_ADDRESS, PORT_A_FIRST_BIT_NUMBER, PORT_A_LAST_ADDRESS, PORT_A_LOGICAL_RAM_DEPTH, PORT_A_LOGICAL_RAM_WIDTH, PORT_A_READ_DURING_WRITE_MODE, PORT_B_ADDRESS_CLEAR, PORT_B_ADDRESS_CLOCK, PORT_B_ADDRESS_WIDTH = 1, PORT_B_BYTE_ENABLE_CLOCK, PORT_B_BYTE_ENABLE_MASK_WIDTH = 1, PORT_B_BYTE_SIZE, PORT_B_DATA_IN_CLOCK, PORT_B_DATA_OUT_CLEAR, PORT_B_DATA_OUT_CLOCK, PORT_B_DATA_WIDTH = 1, PORT_B_FIRST_ADDRESS, PORT_B_FIRST_BIT_NUMBER, PORT_B_LAST_ADDRESS, PORT_B_LOGICAL_RAM_DEPTH, PORT_B_LOGICAL_RAM_WIDTH, PORT_B_READ_DURING_WRITE_MODE, PORT_B_READ_ENABLE_CLOCK, PORT_B_WRITE_ENABLE_CLOCK, POWER_UP_UNINITIALIZED, RAM_BLOCK_TYPE, WIDTH_ECCSTATUS = 3) +RETURNS ( dftout[8..0], eccstatus[WIDTH_ECCSTATUS-1..0], portadataout[PORT_A_DATA_WIDTH-1..0], portbdataout[PORT_B_DATA_WIDTH-1..0]); + +--synthesis_resources = M10K 8 +OPTIONS ALTERA_INTERNAL_OPTION = "OPTIMIZE_POWER_DURING_SYNTHESIS=NORMAL_COMPILATION"; + +SUBDESIGN altsyncram_s6q1 +( + address_a[12..0] : input; + address_b[12..0] : input; + clock0 : input; + data_a[7..0] : input; + q_b[7..0] : output; + rden_b : input; + wren_a : input; +) +VARIABLE + ram_block1a0 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 0, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 0, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a1 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 1, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 1, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a2 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 2, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 2, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a3 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 3, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 3, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a4 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 4, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 4, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a5 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 5, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 5, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a6 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 6, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 6, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + ram_block1a7 : cyclonev_ram_block + WITH ( + CLK0_CORE_CLOCK_ENABLE = "none", + CLK0_INPUT_CLOCK_ENABLE = "none", + CONNECTIVITY_CHECKING = "OFF", + LOGICAL_RAM_NAME = "ALTSYNCRAM", + MIXED_PORT_FEED_THROUGH_MODE = "old", + OPERATION_MODE = "dual_port", + PORT_A_ADDRESS_WIDTH = 13, + PORT_A_DATA_WIDTH = 1, + PORT_A_FIRST_ADDRESS = 0, + PORT_A_FIRST_BIT_NUMBER = 7, + PORT_A_LAST_ADDRESS = 8191, + PORT_A_LOGICAL_RAM_DEPTH = 8192, + PORT_A_LOGICAL_RAM_WIDTH = 8, + PORT_B_ADDRESS_CLEAR = "none", + PORT_B_ADDRESS_CLOCK = "clock0", + PORT_B_ADDRESS_WIDTH = 13, + PORT_B_DATA_OUT_CLEAR = "none", + PORT_B_DATA_WIDTH = 1, + PORT_B_FIRST_ADDRESS = 0, + PORT_B_FIRST_BIT_NUMBER = 7, + PORT_B_LAST_ADDRESS = 8191, + PORT_B_LOGICAL_RAM_DEPTH = 8192, + PORT_B_LOGICAL_RAM_WIDTH = 8, + PORT_B_READ_ENABLE_CLOCK = "clock0", + RAM_BLOCK_TYPE = "AUTO" + ); + address_a_wire[12..0] : WIRE; + address_b_wire[12..0] : WIRE; + +BEGIN + ram_block1a[7..0].clk0 = clock0; + ram_block1a[7..0].portaaddr[] = ( address_a_wire[12..0]); + ram_block1a[0].portadatain[] = ( data_a[0..0]); + ram_block1a[1].portadatain[] = ( data_a[1..1]); + ram_block1a[2].portadatain[] = ( data_a[2..2]); + ram_block1a[3].portadatain[] = ( data_a[3..3]); + ram_block1a[4].portadatain[] = ( data_a[4..4]); + ram_block1a[5].portadatain[] = ( data_a[5..5]); + ram_block1a[6].portadatain[] = ( data_a[6..6]); + ram_block1a[7].portadatain[] = ( data_a[7..7]); + ram_block1a[7..0].portawe = wren_a; + ram_block1a[7..0].portbaddr[] = ( address_b_wire[12..0]); + ram_block1a[7..0].portbre = rden_b; + address_a_wire[] = address_a[]; + address_b_wire[] = address_b[]; + q_b[] = ( ram_block1a[7..0].portbdataout[0..0]); +END; +--VALID FILE diff --git a/proj_quartus/db/bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif b/proj_quartus/db/bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif index e1f8760b4..6e93540d5 100644 --- a/proj_quartus/db/bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif +++ b/proj_quartus/db/bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif @@ -37,7 +37,7 @@ CONTENT BEGIN 29 : 00000000000000000000111100110010; 30 : 00000000000000000000111110110010; 31 : 00000000000000000001010110111010; - 32 : 11110011000001011000010110110010; + 32 : 11110001110001011000010110110010; 33 : 10000000000000010000011000111010; 34 : 11110111110001100000011000110010; 35 : 10000000000000010000011010111010; @@ -101,14 +101,14 @@ CONTENT BEGIN 93 : 00000011100100010010001000110001; 94 : 00000011101000010010000000110001; 95 : 00000001101100010010111000110001; - 96 : 01111010110001111000011110110010; + 96 : 01111001110001111000011110110010; 97 : 00110000010101111001000001110011; 98 : 11111110100000000010011110110000; 99 : 00000000000010000000011100111011; 100 : 00000000111001111111011110110011; 101 : 00000000000001111000100001110001; 102 : 11111010000000000010010000110001; - 103 : 00010000000100000000011110110010; + 103 : 01000000000100000000011110110010; 104 : 11111010111100000010010000110001; 105 : 11111110100000000010011110110000; 106 : 01000000000000000000011100111011; @@ -155,11 +155,11 @@ CONTENT BEGIN 147 : 00000000000000000000011100110010; 148 : 01011111111101111000011110110010; 149 : 10100000000001100000011000110010; - 150 : 00011110110101111110011001110001; + 150 : 00011110110101111110001001110001; 151 : 00000000000000000001011010111011; 152 : 00000000000000000000011110110010; 153 : 11111111111001101000011010110010; - 154 : 00011110111001101110100001110001; + 154 : 00011110111001101110010001110001; 155 : 11111111111101110000011100110010; 156 : 00000001100001111001011110110010; 157 : 00000000111001111110011110110011; @@ -181,833 +181,833 @@ CONTENT BEGIN 173 : 00000000100000000000011110110010; 174 : 00110000000001111010000001110011; 175 : 11111111111111110001010100111011; - 176 : 11011010100001010000010100110010; - 177 : 01000011010000000000000011111101; + 176 : 11011001010001010000010100110010; + 177 : 01000010010000000000000011111101; 178 : 11110001001100000010010101110011; - 179 : 00111011100000000000000011111101; + 179 : 00111010100000000000000011111101; 180 : 11111111111111110001010100111011; - 181 : 11011110000001010000010100110010; - 182 : 01000010000000000000000011111101; + 181 : 11011100110001010000010100110010; + 182 : 01000001000000000000000011111101; 183 : 11111110010000000010010100110000; - 184 : 00111010010000000000000011111101; + 184 : 00111001010000000000000011111101; 185 : 11111111111111110001010100111011; - 186 : 11011110100001010000010100110010; - 187 : 01000000110000000000000011111101; + 186 : 11011101010001010000010100110010; + 187 : 00111111110000000000000011111101; 188 : 11111110000000000010010100110000; - 189 : 00111001000000000000000011111101; + 189 : 00111000000000000000000011111101; 190 : 11111111111111110001010100111011; - 191 : 11011111000001010000010100110010; - 192 : 00111111100000000000000011111101; + 191 : 11011101110001010000010100110010; + 192 : 00111110100000000000000011111101; 193 : 00110000000100000010010101110011; - 194 : 00110111110000000000000011111101; + 194 : 00110110110000000000000011111101; 195 : 11111111111111110001010100111011; - 196 : 11011111100001010000010100110010; - 197 : 00111110010000000000000011111101; + 196 : 11011110010001010000010100110010; + 197 : 00111101010000000000000011111101; 198 : 11111100000000000010010101110011; - 199 : 00110110100000000000000011111101; + 199 : 00110101100000000000000011111101; 200 : 11111111111111110001010100111011; - 201 : 11011111110001010000010100110010; - 202 : 00111101000000000000000011111101; + 201 : 11011110100001010000010100110010; + 202 : 00111100000000000000000011111101; 203 : 11111110100000000010010100110000; 204 : 11111111111111110001010000111011; - 205 : 11111111111111110001100100111011; - 206 : 00110100110000000000000011111101; - 207 : 11111111111111110001010100111011; - 208 : 11100000010001010000010100110010; - 209 : 00111011010000000000000011111101; - 210 : 11111111100000000010010100110000; - 211 : 00110011100000000000000011111101; - 212 : 11100000110001000000010100110010; - 213 : 00111010010000000000000011111101; - 214 : 11111111000000000010010100110000; - 215 : 00110010100000000000000011111101; - 216 : 11111111111111110001010100111011; - 217 : 11100001100001010000010100110010; - 218 : 00111001000000000000000011111101; - 219 : 11111111110000000010010100110000; - 220 : 00110001010000000000000011111101; - 221 : 11100000110001000000010100110010; - 222 : 00111000000000000000000011111101; - 223 : 11111111010000000010010100110000; - 224 : 00110000010000000000000011111101; - 225 : 11011010010010010000010100110010; - 226 : 00110111000000000000000011111101; - 227 : 11111110100000000010011110110000; - 228 : 00000000000000100000011100111011; - 229 : 00000000111001111111011110110011; - 230 : 00000100000001111000110001110001; - 231 : 11111111111111110001010100111011; - 232 : 11100010000001010000010100110010; - 233 : 00110101010000000000000011111101; - 234 : 00101011000000000000000011111101; - 235 : 11111110000000000010010000110000; - 236 : 00000000000001000000101000111011; - 237 : 00000000000000000010101010111011; - 238 : 00000000001101000001010000110010; - 239 : 00000000101001000000100110110011; - 240 : 00000000100010011011010000110011; - 241 : 00000000101101000000010000110011; - 242 : 11111110100000000010011110110000; + 205 : 00110100000000000000000011111101; + 206 : 11111111111111110001010100111011; + 207 : 11011111000001010000010100110010; + 208 : 00111010100000000000000011111101; + 209 : 11111111100000000010010100110000; + 210 : 00110010110000000000000011111101; + 211 : 11011111100001000000010100110010; + 212 : 00111001100000000000000011111101; + 213 : 11111111000000000010010100110000; + 214 : 00110001110000000000000011111101; + 215 : 11111111111111110001010100111011; + 216 : 11100000010001010000010100110010; + 217 : 00111000010000000000000011111101; + 218 : 11111111110000000010010100110000; + 219 : 00110000100000000000000011111101; + 220 : 11011111100001000000010100110010; + 221 : 00110111010000000000000011111101; + 222 : 11111111010000000010010100110000; + 223 : 00101111100000000000000011111101; + 224 : 11111110100000000010011110110000; + 225 : 00000000000000100000011100111011; + 226 : 00000000111001111111011110110011; + 227 : 00000100000001111000110001110001; + 228 : 11111111111111110001010100111011; + 229 : 11100000110001010000010100110010; + 230 : 00110101000000000000000011111101; + 231 : 00101010110000000000000011111101; + 232 : 11111110000000000010010000110000; + 233 : 00000000000001000000100110111011; + 234 : 00000000000000000010101000111011; + 235 : 00000000001101000001010000110010; + 236 : 00000000101001000000100100110011; + 237 : 00000000100010010011010000110011; + 238 : 00000000101101000000010000110011; + 239 : 11111110100000000010011110110000; + 240 : 00000001001101111111011110110011; + 241 : 00001010000001111000100001110001; + 242 : 11111010000000000010011110110000; 243 : 00000001010001111111011110110011; - 244 : 00001010000001111000011001110001; - 245 : 11111010000000000010011110110000; - 246 : 00000001010101111111011110110011; - 247 : 00001010000001111001000001110001; - 248 : 11111111111111110001010100111011; - 249 : 11111010010000000010011110110000; - 250 : 11100100110001010000010100110010; - 251 : 00110000110000000000000011111101; - 252 : 11111111111111110001101000111011; - 253 : 11100101100010100000010100110010; - 254 : 00110000000000000000000011111101; - 255 : 00000110110000000000101010110010; - 256 : 00000111001100000000101110110010; - 257 : 00000110010100000000110000110010; - 258 : 00000110100000000000110010110010; - 259 : 11111111111111110001011110111011; - 260 : 11101101100001111000010100110010; - 261 : 00101110010000000000000011111101; - 262 : 11111010010000000010010000110000; - 263 : 11111110000001000101111011110001; - 264 : 00001111111101000111010000110010; - 265 : 00000000000001000000010100110010; - 266 : 00100100010000000000000011111101; - 267 : 11011010010010010000010100110010; - 268 : 00101100100000000000000011111101; - 269 : 00000111001000000000011110110010; - 270 : 00000110111101000001011001110001; - 271 : 11111111111111110000001010111011; - 272 : 00000000000000101000000001111001; - 273 : 00000000000101110000011100110010; - 274 : 00000001000001110001011100110010; - 275 : 00000000110001101000011010110011; - 276 : 00000001000001110101011100110010; - 277 : 11100000010111111111000001111101; - 278 : 11111111111001111000011000110010; - 279 : 00001111110101100111011000110010; - 280 : 00000000000001100001101001110001; - 281 : 00000000001101110101011100110010; - 282 : 00000000000101111000011110110010; - 283 : 00001111111101111111011110110010; - 284 : 11011111100111111111000001111101; - 285 : 00000000000101110101011100110010; - 286 : 11111111000111111111000001111101; - 287 : 00011101110000000000000011111101; - 288 : 11110100100001011110010011110001; - 289 : 00000000101101000001010001110001; - 290 : 11110101001101010110000011110001; - 291 : 00000000000100000000010100110010; - 292 : 01100110100000000000000011111101; - 293 : 11011010010010010000010100110010; - 294 : 00100110000000000000000011111101; - 295 : 00000000000000000000010100110010; - 296 : 00000100110100000000000011111101; - 297 : 00011001010101000000001001110001; - 298 : 00000010100010101110001001110001; - 299 : 00011001100001000000001001110001; - 300 : 11100101100010100000010100110010; - 301 : 00000011100101000000111001110001; + 244 : 00001010000001111001001001110001; + 245 : 11111111111111110001010100111011; + 246 : 11111010010000000010011110110000; + 247 : 11100011100001010000010100110010; + 248 : 00110000100000000000000011111101; + 249 : 11111111111111110001100110111011; + 250 : 11100100010010011000010100110010; + 251 : 00101111110000000000000011111101; + 252 : 00000110110000000000101000110010; + 253 : 00000111100000000000101110110010; + 254 : 00000111001100000000110000110010; + 255 : 00000110010100000000110010110010; + 256 : 11111111111111110001011110111011; + 257 : 11101100010001111000010100110010; + 258 : 00101110000000000000000011111101; + 259 : 11111010010000000010010000110000; + 260 : 11111110000001000101111011110001; + 261 : 00001111111101000111010000110010; + 262 : 00000000000001000000010100110010; + 263 : 00100100000000000000000011111101; + 264 : 11111111111111110001011110111011; + 265 : 11011001000001111000010100110010; + 266 : 00101100000000000000000011111101; + 267 : 00000111001000000000011110110010; + 268 : 00000110111101000001100001110001; + 269 : 11111111111111110000001010111011; + 270 : 00000000000000101000000001111001; + 271 : 00000000000101110000011100110010; + 272 : 00000001000001110001011100110010; + 273 : 00000000110001101000011010110011; + 274 : 00000001000001110101011100110010; + 275 : 11100000110111111111000001111101; + 276 : 11111111111001111000011000110010; + 277 : 00001111110101100111011000110010; + 278 : 00000000000001100001101001110001; + 279 : 00000000001101110101011100110010; + 280 : 00000000000101111000011110110010; + 281 : 00001111111101111111011110110010; + 282 : 11100000000111111111000001111101; + 283 : 00000000000101110101011100110010; + 284 : 11111111000111111111000001111101; + 285 : 00011101010000000000000011111101; + 286 : 11110100100001011110001011110001; + 287 : 00000000101101000001010001110001; + 288 : 11110011001001010110111011110001; + 289 : 00000000000100000000010100110010; + 290 : 01100101110000000000000011111101; + 291 : 11111111111111110001010100111011; + 292 : 11011001000001010000010100110010; + 293 : 00100101010000000000000011111101; + 294 : 00000000000000000000010100110010; + 295 : 00000011110100000000000011111101; + 296 : 00010111010001000000110001110001; + 297 : 00000010100010100110010001110001; + 298 : 00010111100101000000110001110001; + 299 : 00000110100000000000011110110010; + 300 : 11100100010010011000010100110010; + 301 : 00000010111101000000110001110001; 302 : 00000011111100000000011110110010; - 303 : 00011000111101000000100001110001; + 303 : 00011000111101000000000001110001; 304 : 11111111111111110001010100111011; - 305 : 11110111110001010000010100110010; - 306 : 00000010100000000000000001111101; + 305 : 11110110100001010000010100110010; + 306 : 00000010010000000000000001111101; 307 : 00000111010100000000011110110010; - 308 : 00000010111101000000010001110001; - 309 : 00000111100000000000011110110010; - 310 : 00010110111101000000011001110001; - 311 : 11111111011101000001001011110001; - 312 : 00000000010001001010010000110000; - 313 : 00000010000001000001000001110001; - 314 : 11111111111111110001010100111011; - 315 : 11101110000001010000010100110010; - 316 : 00100000100000000000000011111101; - 317 : 11110001100111111111000001111101; - 318 : 00000000000000000000010100110010; - 319 : 01011111110000000000000011111101; - 320 : 11110000110111111111000001111101; - 321 : 11111111111111110001010100111011; - 322 : 11101111110001010000010100110010; - 323 : 00011110110000000000000011111101; - 324 : 00000000000001000000010100110010; - 325 : 00010111000000000000000011111101; - 326 : 11111111111111110001010100111011; - 327 : 11110000010001010000010100110010; - 328 : 00011101100000000000000011111101; - 329 : 00000000010000000000010100111011; - 330 : 00010101110000000000000011111101; - 331 : 11111111111111110001010100111011; - 332 : 11110001110001010000010100110010; - 333 : 00011100010000000000000011111101; - 334 : 11111010010000000010100110110000; - 335 : 11111110000010011101111011110001; - 336 : 00001111111110011111100110110010; - 337 : 00000000000010011000010100110010; - 338 : 00010010010000000000000011111101; - 339 : 00000111100100000000011110110010; - 340 : 11101010111110011001111011110001; - 341 : 01010110000000000000000011111101; - 342 : 00000000000001010000011001110001; - 343 : 00000000001100000000010100110010; - 344 : 00011111000000000000000011111101; - 345 : 11111111111111110001010100111011; - 346 : 11110010100001010000010100110010; - 347 : 00011000110000000000000011111101; - 348 : 00000001000001000101101100110010; - 349 : 00000000010000000000100110111011; - 350 : 00000000000000010000110110111011; - 351 : 11111111111100000000110100110010; - 352 : 01001110000000000000000011111101; - 353 : 01000001000000000000000011111101; - 354 : 00001101100000000000010100110010; - 355 : 00111011010000000000000011111101; - 356 : 00000000000010011000010100110010; - 357 : 00111100010000000000000011111101; - 358 : 00111001010000000000000011111101; - 359 : 01001110010000000000000011111101; - 360 : 00000000000101010111010100110010; - 361 : 11111110000001010001110011110001; - 362 : 11111111111110110000101100110010; - 363 : 00000001101110011000100110110011; - 364 : 11111101101010110001100011110001; - 365 : 11111111000000000010011010110000; - 366 : 00000000010000000000100110111011; - 367 : 00000000000000000000110100110010; - 368 : 00000000000000000000110110110010; - 369 : 00000000110010011000011110110010; - 370 : 00000000110111010000011100110011; - 371 : 00000000000001110010010110110000; - 372 : 00000000111111010000010100110011; - 373 : 00000000110100010010011000110001; - 374 : 00000000101111011000110110110011; - 375 : 01100111110000000000000011111101; - 376 : 00000000010000000000011110111011; - 377 : 00000000010011010000110100110010; - 378 : 00000000110000010010011010110000; - 379 : 00000000110001111000011110110010; - 380 : 11111100100011010110110011110001; - 381 : 01000111100010001101010110111011; - 382 : 10101111111001011000010110110010; - 383 : 00000000010000000000010100111011; - 384 : 01100101100000000000000011111101; - 385 : 00000000000001000000010110110010; - 386 : 00000000010010011000010100110010; - 387 : 01100100110000000000000011111101; - 388 : 00000000100010011000010100110010; - 389 : 01000001101100000000010110110011; - 390 : 01100100000000000000000011111101; - 391 : 11111111111111110001010100111011; - 392 : 11011000110001010000010100110010; - 393 : 11101100110111111111000001111101; - 394 : 00000000000100000000010100110010; - 395 : 11101101000111111111000001111101; - 396 : 00000000010001001010011110110000; - 397 : 11100110000001111001010011110001; - 398 : 11111111111111110001010100111011; - 399 : 11110011100001010000010100110010; - 400 : 11101011000111111111000001111101; - 401 : 00000000000100000000010100110010; - 402 : 11100101100111111111000001111101; - 403 : 11111111111111110001010100111011; - 404 : 11110100100001010000010100110010; - 405 : 11101001110111111111000001111101; - 406 : 11111001010000000010010110110000; - 407 : 11111001000000000010010100110000; - 408 : 11111001010000000010011110110000; - 409 : 11111110111101011001101011110001; - 410 : 00000000000000001000000001111001; - 411 : 00000000000001000000011100111011; - 412 : 11111010000000000010011110110000; - 413 : 00000000111001111111011110110011; - 414 : 11111110000001111001110011110001; - 415 : 11111010101000000010001000110001; - 416 : 00000000000000001000000001111001; - 417 : 11111110000000010000000100110010; - 418 : 00000001001000010010100000110001; - 419 : 00000000000001010000100100110010; - 420 : 00000011000000000000010100110010; - 421 : 00000000000100010010111000110001; - 422 : 00000000100000010010110000110001; - 423 : 00000000100100010010101000110001; - 424 : 00000001001100010010011000110001; - 425 : 11111100100111111111000011111101; - 426 : 00000111100000000000010100110010; - 427 : 11111111111111110001010010111011; - 428 : 11111011110111111111000011111101; - 429 : 00000001110000000000010000110010; - 430 : 11111000100001001000010010110010; - 431 : 11111111110000000000100110110010; - 432 : 00000000100010010101011110110011; - 433 : 00000000111101111111011110110010; - 434 : 00000000111101001000011110110011; - 435 : 00000000000001111100010100110000; - 436 : 11111111110001000000010000110010; - 437 : 11111001100111111111000011111101; - 438 : 11111111001101000001010011110001; - 439 : 00000001110000010010000010110000; - 440 : 00000001100000010010010000110000; - 441 : 00000001010000010010010010110000; - 442 : 00000001000000010010100100110000; - 443 : 00000000110000010010100110110000; - 444 : 00000010000000010000000100110010; - 445 : 00000000000000001000000001111001; - 446 : 11111111000000010000000100110010; - 447 : 00000000100000010010010000110001; - 448 : 00000001001000010010000000110001; - 449 : 00000000000100010010011000110001; - 450 : 00000000100100010010001000110001; - 451 : 00000000000001010000010000110010; - 452 : 00000000101000000000100100110010; - 453 : 00000000000001000100010010110000; - 454 : 00000000000101000000010000110010; - 455 : 00000000000001001001111001110001; - 456 : 00000000110000010010000010110000; - 457 : 00000000100000010010010000110000; - 458 : 00000000010000010010010010110000; - 459 : 00000000000000010010100100110000; - 460 : 00000001000000010000000100110010; - 461 : 00000000000000001000000001111001; - 462 : 00000001001001001001011001110001; - 463 : 00000000110100000000010100110010; - 464 : 11110010110111111111000011111101; - 465 : 00000000000001001000010100110010; - 466 : 11110010010111111111000011111101; - 467 : 11111100100111111111000001111101; - 468 : 11111111000000010000000100110010; - 469 : 00000000100000010010010000110001; - 470 : 00000000000001010000010000110010; - 471 : 11111111111111110001010100111011; - 472 : 11010100010001010000010100110010; - 473 : 00000000000100010010011000110001; - 474 : 11111001000111111111000011111101; - 475 : 00000000001001000001011110110010; - 476 : 11111111111111110001010100111011; - 477 : 00000000100001111000011110110011; - 478 : 11111001100001010000010100110010; - 479 : 00000000111101010000010100110011; - 480 : 11110111100111111111000011111101; - 481 : 00000000100000000000011110110010; - 482 : 00110000000001111011000001110011; - 483 : 11111110100000000010011110110000; - 484 : 00000000000000010000011100111011; - 485 : 00000000111001111111011110110011; - 486 : 00000000000001111000100001110001; - 487 : 00000000000100000000011110110010; - 488 : 11111100111100000010010000110001; - 489 : 11111100000000000010011000110001; - 490 : 00000000000000000000000001111101; - 491 : 11111011000000010000000100110010; - 492 : 00000100000100010010011000110001; - 493 : 00000100010100010010010000110001; - 494 : 00000100011000010010001000110001; - 495 : 00000100011100010010000000110001; - 496 : 00000010100000010010111000110001; - 497 : 00000010100100010010110000110001; - 498 : 00000010101000010010101000110001; - 499 : 00000010101100010010100000110001; - 500 : 00000010110000010010011000110001; - 501 : 00000010110100010010010000110001; - 502 : 00000010111000010010001000110001; - 503 : 00000010111100010010000000110001; - 504 : 00000001000000010010111000110001; - 505 : 00000001000100010010110000110001; - 506 : 00000001110000010010101000110001; - 507 : 00000001110100010010100000110001; - 508 : 00000001111000010010011000110001; - 509 : 00000001111100010010010000110001; - 510 : 00110100001000000010010011110011; - 511 : 10000000000000000000011110111011; - 512 : 00000000011101111000011110110010; - 513 : 00001010111101001001011001110001; - 514 : 11111110100000000010011110110000; - 515 : 00000000000000010000011100111011; - 516 : 00000000111001111111011110110011; - 517 : 00000000000001111000100001110001; - 518 : 11111100100000000010011110110000; - 519 : 00000000000101111100011110110010; - 520 : 11111100111100000010010000110001; - 521 : 11111110100000000010011110110000; - 522 : 00000000000000100000011100111011; - 523 : 00000000111001111111011110110011; - 524 : 00000010000001111000100001110001; - 525 : 11100010010111111111000011111101; - 526 : 11111110000000000010011110110000; - 527 : 11111111111100000000011100110010; - 528 : 11111000111000000010110000110001; - 529 : 00000000001001111101011110110010; - 530 : 00000000101001111000010100110011; - 531 : 00000000111101010011011110110011; - 532 : 00000000101101111000011110110011; - 533 : 11111000111100000010111000110001; - 534 : 11111000101000000010110000110001; - 535 : 00000000000000000000000000110010; - 536 : 00000011110000010010010000110000; - 537 : 00000100110000010010000010110000; - 538 : 00000100100000010010001010110000; - 539 : 00000100010000010010001100110000; - 540 : 00000100000000010010001110110000; - 541 : 00000011100000010010010010110000; - 542 : 00000011010000010010010100110000; - 543 : 00000011000000010010010110110000; - 544 : 00000010110000010010011000110000; - 545 : 00000010100000010010011010110000; - 546 : 00000010010000010010011100110000; - 547 : 00000010000000010010011110110000; - 548 : 00000001110000010010100000110000; - 549 : 00000001100000010010100010110000; - 550 : 00000001010000010010111000110000; - 551 : 00000001000000010010111010110000; - 552 : 00000000110000010010111100110000; - 553 : 00000000100000010010111110110000; - 554 : 00000101000000010000000100110010; - 555 : 00110000001000000000000001110011; - 556 : 00000000011100000000011110110010; - 557 : 00000000111101001001110001110001; - 558 : 10000000000000000000011110111011; - 559 : 00000000000001111010011110110000; - 560 : 00000000000001111000011001110001; - 561 : 00000000000100000000010100110010; - 562 : 11101000100111111111000011111101; - 563 : 00110100000100000010010001110011; - 564 : 11111110100000000010011110110000; - 565 : 00000000000001000000011100111011; - 566 : 00000000111001111111011110110011; - 567 : 00000100000001111000001001110001; - 568 : 11111111111111110001010100111011; - 569 : 11010100110001010000010100110010; - 570 : 11100001000111111111000011111101; - 571 : 00000000000001001000010100110010; - 572 : 11011001010111111111000011111101; + 308 : 00000010111101000000001001110001; + 309 : 00010111011101000000000001110001; + 310 : 11111111100001000001010011110001; + 311 : 00000000010001001010010000110000; + 312 : 00000010000001000001000001110001; + 313 : 11111111111111110001010100111011; + 314 : 11101100110001010000010100110010; + 315 : 00011111110000000000000011111101; + 316 : 11110001000111111111000001111101; + 317 : 00000000000000000000010100110010; + 318 : 01011110110000000000000011111101; + 319 : 11110000010111111111000001111101; + 320 : 11111111111111110001010100111011; + 321 : 11101110100001010000010100110010; + 322 : 00011110000000000000000011111101; + 323 : 00000000000001000000010100110010; + 324 : 00010110010000000000000011111101; + 325 : 11111111111111110001010100111011; + 326 : 11101111000001010000010100110010; + 327 : 00011100110000000000000011111101; + 328 : 00000000010000000000010100111011; + 329 : 00010101000000000000000011111101; + 330 : 11111111111111110001010100111011; + 331 : 11110000100001010000010100110010; + 332 : 00011011100000000000000011111101; + 333 : 11111010010000000010100100110000; + 334 : 11111110000010010101111011110001; + 335 : 00001111111110010111100100110010; + 336 : 00000000000010010000010100110010; + 337 : 00010001100000000000000011111101; + 338 : 00000111100100000000011110110010; + 339 : 11101010111110010001101011110001; + 340 : 01010101000000000000000011111101; + 341 : 00000000000001010000011001110001; + 342 : 00000000001100000000010100110010; + 343 : 00011110010000000000000011111101; + 344 : 11111111111111110001010100111011; + 345 : 11110001010001010000010100110010; + 346 : 00011000000000000000000011111101; + 347 : 00000001000001000101101100110010; + 348 : 00000000010000000000100100111011; + 349 : 00000000000000010000110110111011; + 350 : 11111111111100000000110100110010; + 351 : 01001101000000000000000011111101; + 352 : 01000000000000000000000011111101; + 353 : 00001101100000000000010100110010; + 354 : 00111010100000000000000011111101; + 355 : 00000000000010010000010100110010; + 356 : 00111011010000000000000011111101; + 357 : 00111000100000000000000011111101; + 358 : 01001101010000000000000011111101; + 359 : 00000000000101010111101010110010; + 360 : 11111110000010101001110011110001; + 361 : 11111111111110110000101100110010; + 362 : 00000001101110010000100100110011; + 363 : 11111101101010110001100011110001; + 364 : 11111111000000000010011010110000; + 365 : 00000000010000000000100100111011; + 366 : 00000000000000000000110100110010; + 367 : 00000000110010010000110110110010; + 368 : 00000000110111010000011100110011; + 369 : 00000000000001110010010110110000; + 370 : 00000001101111010000010100110011; + 371 : 00000000110100010010011000110001; + 372 : 00000000101110101000101010110011; + 373 : 00000000010011010000110100110010; + 374 : 01100110110000000000000011111101; + 375 : 00000000110000010010011010110000; + 376 : 11111110100011010110000011110001; + 377 : 01000111100010001101010110111011; + 378 : 10101111111001011000010110110010; + 379 : 00000000010000000000010100111011; + 380 : 01100101010000000000000011111101; + 381 : 00000000000001000000010110110010; + 382 : 00000000010010010000010100110010; + 383 : 01100100100000000000000011111101; + 384 : 00000000100010010000010100110010; + 385 : 01000001010100000000010110110011; + 386 : 01100011110000000000000011111101; + 387 : 11111111111111110001010100111011; + 388 : 11010111100001010000010100110010; + 389 : 11101101100111111111000001111101; + 390 : 00000000000100000000010100110010; + 391 : 11101101110111111111000001111101; + 392 : 00000000010001001010011110110000; + 393 : 11100110000001111001101011110001; + 394 : 11111111111111110001010100111011; + 395 : 11110010010001010000010100110010; + 396 : 11101011110111111111000001111101; + 397 : 00000000000100000000010100110010; + 398 : 11100110010111111111000001111101; + 399 : 11111111111111110001010100111011; + 400 : 11110011010001010000010100110010; + 401 : 11101010100111111111000001111101; + 402 : 11111001010000000010010110110000; + 403 : 11111001000000000010010100110000; + 404 : 11111001010000000010011110110000; + 405 : 11111110111101011001101011110001; + 406 : 00000000000000001000000001111001; + 407 : 00000000000001000000011100111011; + 408 : 11111010000000000010011110110000; + 409 : 00000000111001111111011110110011; + 410 : 11111110000001111001110011110001; + 411 : 11111010101000000010001000110001; + 412 : 00000000000000001000000001111001; + 413 : 11111110000000010000000100110010; + 414 : 00000001001000010010100000110001; + 415 : 00000000000001010000100100110010; + 416 : 00000011000000000000010100110010; + 417 : 00000000000100010010111000110001; + 418 : 00000000100000010010110000110001; + 419 : 00000000100100010010101000110001; + 420 : 00000001001100010010011000110001; + 421 : 11111100100111111111000011111101; + 422 : 00000111100000000000010100110010; + 423 : 11111111111111110001010010111011; + 424 : 11111011110111111111000011111101; + 425 : 00000001110000000000010000110010; + 426 : 11110111010001001000010010110010; + 427 : 11111111110000000000100110110010; + 428 : 00000000100010010101011110110011; + 429 : 00000000111101111111011110110010; + 430 : 00000000111101001000011110110011; + 431 : 00000000000001111100010100110000; + 432 : 11111111110001000000010000110010; + 433 : 11111001100111111111000011111101; + 434 : 11111111001101000001010011110001; + 435 : 00000001110000010010000010110000; + 436 : 00000001100000010010010000110000; + 437 : 00000001010000010010010010110000; + 438 : 00000001000000010010100100110000; + 439 : 00000000110000010010100110110000; + 440 : 00000010000000010000000100110010; + 441 : 00000000000000001000000001111001; + 442 : 11111111000000010000000100110010; + 443 : 00000000100000010010010000110001; + 444 : 00000001001000010010000000110001; + 445 : 00000000000100010010011000110001; + 446 : 00000000100100010010001000110001; + 447 : 00000000000001010000010000110010; + 448 : 00000000101000000000100100110010; + 449 : 00000000000001000100010010110000; + 450 : 00000000000101000000010000110010; + 451 : 00000000000001001001111001110001; + 452 : 00000000110000010010000010110000; + 453 : 00000000100000010010010000110000; + 454 : 00000000010000010010010010110000; + 455 : 00000000000000010010100100110000; + 456 : 00000001000000010000000100110010; + 457 : 00000000000000001000000001111001; + 458 : 00000001001001001001011001110001; + 459 : 00000000110100000000010100110010; + 460 : 11110010110111111111000011111101; + 461 : 00000000000001001000010100110010; + 462 : 11110010010111111111000011111101; + 463 : 11111100100111111111000001111101; + 464 : 11111111000000010000000100110010; + 465 : 00000000100000010010010000110001; + 466 : 00000000000001010000010000110010; + 467 : 11111111111111110001010100111011; + 468 : 11010011000001010000010100110010; + 469 : 00000000000100010010011000110001; + 470 : 11111001000111111111000011111101; + 471 : 00000000001001000001011110110010; + 472 : 11111111111111110001010100111011; + 473 : 00000000100001111000011110110011; + 474 : 11111000010001010000010100110010; + 475 : 00000000111101010000010100110011; + 476 : 11110111100111111111000011111101; + 477 : 00000000100000000000011110110010; + 478 : 00110000000001111011000001110011; + 479 : 11111110100000000010011110110000; + 480 : 00000000000000010000011100111011; + 481 : 00000000111001111111011110110011; + 482 : 00000000000001111000100001110001; + 483 : 00000000000100000000011110110010; + 484 : 11111100111100000010010000110001; + 485 : 11111100000000000010011000110001; + 486 : 00000000000000000000000001111101; + 487 : 11111011000000010000000100110010; + 488 : 00000100000100010010011000110001; + 489 : 00000100010100010010010000110001; + 490 : 00000100011000010010001000110001; + 491 : 00000100011100010010000000110001; + 492 : 00000010100000010010111000110001; + 493 : 00000010100100010010110000110001; + 494 : 00000010101000010010101000110001; + 495 : 00000010101100010010100000110001; + 496 : 00000010110000010010011000110001; + 497 : 00000010110100010010010000110001; + 498 : 00000010111000010010001000110001; + 499 : 00000010111100010010000000110001; + 500 : 00000001000000010010111000110001; + 501 : 00000001000100010010110000110001; + 502 : 00000001110000010010101000110001; + 503 : 00000001110100010010100000110001; + 504 : 00000001111000010010011000110001; + 505 : 00000001111100010010010000110001; + 506 : 00110100001000000010010011110011; + 507 : 10000000000000000000011110111011; + 508 : 00000000011101111000011110110010; + 509 : 00001010111101001001011001110001; + 510 : 11111110100000000010011110110000; + 511 : 00000000000000010000011100111011; + 512 : 00000000111001111111011110110011; + 513 : 00000000000001111000100001110001; + 514 : 11111100100000000010011110110000; + 515 : 00000000000101111100011110110010; + 516 : 11111100111100000010010000110001; + 517 : 11111110100000000010011110110000; + 518 : 00000000000000100000011100111011; + 519 : 00000000111001111111011110110011; + 520 : 00000010000001111000100001110001; + 521 : 11100010010111111111000011111101; + 522 : 11111110000000000010011110110000; + 523 : 11111111111100000000011100110010; + 524 : 11111000111000000010110000110001; + 525 : 00000000001001111101011110110010; + 526 : 00000000101001111000010100110011; + 527 : 00000000111101010011011110110011; + 528 : 00000000101101111000011110110011; + 529 : 11111000111100000010111000110001; + 530 : 11111000101000000010110000110001; + 531 : 00000000000000000000000000110010; + 532 : 00000011110000010010010000110000; + 533 : 00000100110000010010000010110000; + 534 : 00000100100000010010001010110000; + 535 : 00000100010000010010001100110000; + 536 : 00000100000000010010001110110000; + 537 : 00000011100000010010010010110000; + 538 : 00000011010000010010010100110000; + 539 : 00000011000000010010010110110000; + 540 : 00000010110000010010011000110000; + 541 : 00000010100000010010011010110000; + 542 : 00000010010000010010011100110000; + 543 : 00000010000000010010011110110000; + 544 : 00000001110000010010100000110000; + 545 : 00000001100000010010100010110000; + 546 : 00000001010000010010111000110000; + 547 : 00000001000000010010111010110000; + 548 : 00000000110000010010111100110000; + 549 : 00000000100000010010111110110000; + 550 : 00000101000000010000000100110010; + 551 : 00110000001000000000000001110011; + 552 : 00000000011100000000011110110010; + 553 : 00000000111101001001110001110001; + 554 : 10000000000000000000011110111011; + 555 : 00000000000001111010011110110000; + 556 : 00000000000001111000011001110001; + 557 : 00000000000100000000010100110010; + 558 : 11101000100111111111000011111101; + 559 : 00110100000100000010010001110011; + 560 : 11111110100000000010011110110000; + 561 : 00000000000001000000011100111011; + 562 : 00000000111001111111011110110011; + 563 : 00000100000001111000001001110001; + 564 : 11111111111111110001010100111011; + 565 : 11010011100001010000010100110010; + 566 : 11100001000111111111000011111101; + 567 : 00000000000001001000010100110010; + 568 : 11011001010111111111000011111101; + 569 : 00000010000000000000010100110010; + 570 : 11010111010111111111000011111101; + 571 : 00000000000001000000010100110010; + 572 : 11011000010111111111000011111101; 573 : 00000010000000000000010100110010; - 574 : 11010111010111111111000011111101; - 575 : 00000000000001000000010100110010; - 576 : 11011000010111111111000011111101; - 577 : 00000010000000000000010100110010; - 578 : 11010110010111111111000011111101; - 579 : 00110100001100000010010101110011; - 580 : 11010111010111111111000011111101; - 581 : 11111111111111110001010100111011; - 582 : 11011010010001010000010100110010; - 583 : 11011101110111111111000011111101; - 584 : 00000000010001000000010000110010; - 585 : 00110100000101000001000001110011; - 586 : 11110011100111111111000001111101; - 587 : 11111010100000000000011100110010; - 588 : 00000000000001110010011110110000; - 589 : 11111011111101111111011110110010; - 590 : 00000000111101110010000000110001; - 591 : 00000000000000001000000001111001; - 592 : 11111010101000000010011000110001; - 593 : 11111010100000000010011110110000; - 594 : 11111110000001111100111011110001; - 595 : 11111010110000000010010100110000; - 596 : 00001111111101010111010100110010; - 597 : 00000000000000001000000001111001; - 598 : 11111111000000010000000100110010; - 599 : 00000000100000010010010000110001; - 600 : 00000000000001010000010000110010; - 601 : 00000001000001010101010100110010; - 602 : 00001111111101010111010100110010; - 603 : 00000000000100010010011000110001; - 604 : 11111101000111111111000011111101; - 605 : 00000000100001000101010100110010; - 606 : 00001111111101010111010100110010; - 607 : 11111100010111111111000011111101; - 608 : 00001111111101000111010100110010; - 609 : 00000000100000010010010000110000; - 610 : 00000000110000010010000010110000; - 611 : 00000001000000010000000100110010; - 612 : 11111011000111111111000001111101; - 613 : 11111010100000000000011100110010; - 614 : 00000000000001110010011110110000; - 615 : 11111000011101111111011110110010; - 616 : 00000100000001111110011110110010; - 617 : 00000000111101110010000000110001; - 618 : 00000000000000001000000001111001; - 619 : 11111101000000010000000100110010; - 620 : 00000010100000010010010000110001; - 621 : 00000011001000010010000000110001; - 622 : 00000001001100010010111000110001; - 623 : 00000001010000010010110000110001; - 624 : 00000010000100010010011000110001; - 625 : 00000010100100010010001000110001; - 626 : 00000000000001010000100110110010; - 627 : 00000000000001011000100100110010; - 628 : 00000000000000000000010000110010; - 629 : 00000000010000000000101000110010; - 630 : 00000100000010011001010001110001; - 631 : 00000000010000000000011100110010; - 632 : 11111010010000000010011110110000; - 633 : 11111110000001111101111011110001; - 634 : 00000000110000010000011010110010; - 635 : 00000000100001101000011010110011; - 636 : 00000000111101101000000000110001; - 637 : 00000000000101000000010000110010; - 638 : 11111110111001000001010011110001; - 639 : 00000010110000010010000010110000; - 640 : 00000010100000010010010000110000; - 641 : 00000000110000010010010100110000; - 642 : 00000010010000010010010010110000; - 643 : 00000010000000010010100100110000; - 644 : 00000001110000010010100110110000; - 645 : 00000001100000010010101000110000; - 646 : 00000011000000010000000100110010; - 647 : 00000000000000001000000001111001; - 648 : 11110111010111111111000011111101; - 649 : 00000000001100000000010100110010; - 650 : 00000001001001000000010010110011; - 651 : 11110001010111111111000011111101; - 652 : 00000000000001001000010100110010; - 653 : 11110010010111111111000011111101; - 654 : 00000000000000000000010100110010; - 655 : 11110000010111111111000011111101; - 656 : 00000000000001010000010010110010; - 657 : 11101110100111111111000011111101; - 658 : 00000000110000010000011110110010; - 659 : 00000000100001111000011110110011; - 660 : 00000000100101111000000000110001; - 661 : 00000000000101000000010000110010; - 662 : 11111001010001000001000011110001; - 663 : 11111010000111111111000001111101; - 664 : 11111111000000010000000100110010; - 665 : 00000000000100010010011000110001; - 666 : 11110010110111111111000011111101; - 667 : 00000000011000000000010100110010; - 668 : 11101101000111111111000011111101; - 669 : 00000000110000010010000010110000; - 670 : 00000001000000010000000100110010; - 671 : 11101011000111111111000001111101; - 672 : 11111110000000010000000100110010; - 673 : 00000000000100010010111000110001; - 674 : 11110000110111111111000011111101; - 675 : 00000000010100000000010100110010; - 676 : 11101011000111111111000011111101; - 677 : 00000000000000000000010100110010; - 678 : 11101010100111111111000011111101; - 679 : 00000000101000010010011000110001; - 680 : 11101000110111111111000011111101; - 681 : 00000001110000010010000010110000; - 682 : 00000000110000010010010100110000; - 683 : 00000010000000010000000100110010; - 684 : 00000000000000001000000001111001; - 685 : 11111111000000010000000100110010; - 686 : 00000000000100010010011000110001; - 687 : 11111010010111111111000011111101; - 688 : 11111100000111111111000011111101; - 689 : 00000000001001010111011110110010; - 690 : 11111111111100000000010100110010; - 691 : 00000010000001111000000001110001; - 692 : 11101100010111111111000011111101; - 693 : 00000000010000000000010100110010; - 694 : 11100110100111111111000011111101; - 695 : 11100101000111111111000011111101; - 696 : 11111010000111111111000011111101; - 697 : 00000001111001010001010100110010; - 698 : 01000001111101010101010100110010; - 699 : 00000000110000010010000010110000; - 700 : 00000001000000010000000100110010; - 701 : 00000000000000001000000001111001; - 702 : 11111101000000010000000100110010; - 703 : 00000001011000010010100000110001; - 704 : 00000000000100000000011110110010; - 705 : 10000000000000000000101100111011; - 706 : 00000010100000010010010000110001; - 707 : 00000010000100010010011000110001; - 708 : 00000010100100010010001000110001; - 709 : 00000011001000010010000000110001; - 710 : 00000001001100010010111000110001; - 711 : 00000001010000010010110000110001; - 712 : 00000001010100010010101000110001; - 713 : 00000001011100010010011000110001; - 714 : 00000001100000010010010000110001; - 715 : 00000000111110110010000000110001; - 716 : 00000000000001010000010000110010; - 717 : 00000010000001010001100001110001; - 718 : 11111111111111110001010100111011; - 719 : 11010101100001010000010100110010; - 720 : 10111011100111111111000011111101; - 721 : 00000000010000000000010110111011; - 722 : 00000000000001000000010100110010; - 723 : 11100110000111111111000011111101; - 724 : 01000111100010001101011110111011; - 725 : 10101111111001111000011110110010; - 726 : 00000100111101010000100001110001; - 727 : 00000000000000000000010100110010; - 728 : 00000011100000000000000001111101; + 574 : 11010110010111111111000011111101; + 575 : 00110100001100000010010101110011; + 576 : 11010111010111111111000011111101; + 577 : 11111111111111110001010100111011; + 578 : 11011001000001010000010100110010; + 579 : 11011101110111111111000011111101; + 580 : 00000000010001000000010000110010; + 581 : 00110100000101000001000001110011; + 582 : 11110011100111111111000001111101; + 583 : 11111010100000000000011100110010; + 584 : 00000000000001110010011110110000; + 585 : 11101111111101111111011110110010; + 586 : 00000000111101110010000000110001; + 587 : 00000000000000001000000001111001; + 588 : 11111010101000000010011000110001; + 589 : 11111010100000000010011110110000; + 590 : 11111110000001111100111011110001; + 591 : 11111010110000000010010100110000; + 592 : 00000000000000001000000001111001; + 593 : 11111111000000010000000100110010; + 594 : 00000000100000010010010000110001; + 595 : 00000000000001010000010000110010; + 596 : 00000001000001010101010100110010; + 597 : 00001111111101010111010100110010; + 598 : 00000000000100010010011000110001; + 599 : 11111101010111111111000011111101; + 600 : 00000000100001000101010100110010; + 601 : 00001111111101010111010100110010; + 602 : 11111100100111111111000011111101; + 603 : 00001111111101000111010100110010; + 604 : 00000000100000010010010000110000; + 605 : 00000000110000010010000010110000; + 606 : 00000001000000010000000100110010; + 607 : 11111011010111111111000001111101; + 608 : 11111010100000000000011100110010; + 609 : 00000000000001110010011110110000; + 610 : 11100001111101111111011110110010; + 611 : 00010000000001111110011110110010; + 612 : 00000000111101110010000000110001; + 613 : 00000000000000001000000001111001; + 614 : 11111101000000010000000100110010; + 615 : 00000010100000010010010000110001; + 616 : 00000011001000010010000000110001; + 617 : 00000001001100010010111000110001; + 618 : 00000001010000010010110000110001; + 619 : 00000010000100010010011000110001; + 620 : 00000010100100010010001000110001; + 621 : 00000000000001010000100110110010; + 622 : 00000000000001011000100100110010; + 623 : 00000000000000000000010000110010; + 624 : 00000000010000000000101000110010; + 625 : 00000100000010011001010001110001; + 626 : 00000000010000000000011100110010; + 627 : 11111010010000000010011110110000; + 628 : 11111110000001111101111011110001; + 629 : 00000000110000010000011010110010; + 630 : 00000000100001101000011010110011; + 631 : 00000000111101101000000000110001; + 632 : 00000000000101000000010000110010; + 633 : 11111110111001000001010011110001; + 634 : 00000010110000010010000010110000; + 635 : 00000010100000010010010000110000; + 636 : 00000000110000010010010100110000; + 637 : 00000010010000010010010010110000; + 638 : 00000010000000010010100100110000; + 639 : 00000001110000010010100110110000; + 640 : 00000001100000010010101000110000; + 641 : 00000011000000010000000100110010; + 642 : 00000000000000001000000001111001; + 643 : 11110111010111111111000011111101; + 644 : 00000000001100000000010100110010; + 645 : 00000001001001000000010010110011; + 646 : 11110001100111111111000011111101; + 647 : 00000000000001001000010100110010; + 648 : 11110010010111111111000011111101; + 649 : 00000000000000000000010100110010; + 650 : 11110000100111111111000011111101; + 651 : 00000000000001010000010010110010; + 652 : 11101110110111111111000011111101; + 653 : 00000000110000010000011110110010; + 654 : 00000000100001111000011110110011; + 655 : 00000000100101111000000000110001; + 656 : 00000000000101000000010000110010; + 657 : 11111001010001000001000011110001; + 658 : 11111010000111111111000001111101; + 659 : 11111111000000010000000100110010; + 660 : 00000000000100010010011000110001; + 661 : 11110010110111111111000011111101; + 662 : 00000000011000000000010100110010; + 663 : 11101101010111111111000011111101; + 664 : 00000000110000010010000010110000; + 665 : 00000001000000010000000100110010; + 666 : 11101011010111111111000001111101; + 667 : 11111110000000010000000100110010; + 668 : 00000000000100010010111000110001; + 669 : 11110000110111111111000011111101; + 670 : 00000000010100000000010100110010; + 671 : 11101011010111111111000011111101; + 672 : 00000000000000000000010100110010; + 673 : 11101010110111111111000011111101; + 674 : 00000000101000010010011000110001; + 675 : 11101001000111111111000011111101; + 676 : 00000001110000010010000010110000; + 677 : 00000000110000010010010100110000; + 678 : 00000010000000010000000100110010; + 679 : 00000000000000001000000001111001; + 680 : 11111111000000010000000100110010; + 681 : 00000000000100010010011000110001; + 682 : 11111010010111111111000011111101; + 683 : 11111100000111111111000011111101; + 684 : 00000000001001010111011110110010; + 685 : 11111111111100000000010100110010; + 686 : 00000010000001111000000001110001; + 687 : 11101100010111111111000011111101; + 688 : 00000000010000000000010100110010; + 689 : 11100110110111111111000011111101; + 690 : 11100101010111111111000011111101; + 691 : 11111010000111111111000011111101; + 692 : 00000001111001010001010100110010; + 693 : 01000001111101010101010100110010; + 694 : 00000000110000010010000010110000; + 695 : 00000001000000010000000100110010; + 696 : 00000000000000001000000001111001; + 697 : 11111101000000010000000100110010; + 698 : 00000001011000010010100000110001; + 699 : 00000000000100000000011110110010; + 700 : 10000000000000000000101100111011; + 701 : 00000010100000010010010000110001; + 702 : 00000010000100010010011000110001; + 703 : 00000010100100010010001000110001; + 704 : 00000011001000010010000000110001; + 705 : 00000001001100010010111000110001; + 706 : 00000001010000010010110000110001; + 707 : 00000001010100010010101000110001; + 708 : 00000001011100010010011000110001; + 709 : 00000001100000010010010000110001; + 710 : 00000000111110110010000000110001; + 711 : 00000000000001010000010000110010; + 712 : 00000010000001010001100001110001; + 713 : 11111111111111110001010100111011; + 714 : 11010100010001010000010100110010; + 715 : 10111011110111111111000011111101; + 716 : 00000000010000000000010110111011; + 717 : 00000000000001000000010100110010; + 718 : 11100110000111111111000011111101; + 719 : 01000111100010001101011110111011; + 720 : 10101111111001111000011110110010; + 721 : 00000100111101010000100001110001; + 722 : 00000000000000000000010100110010; + 723 : 00000011100000000000000001111101; + 724 : 11111111111111110001010100111011; + 725 : 11010110010001010000010100110010; + 726 : 10111001000111111111000011111101; + 727 : 00000000010000000000010100111011; + 728 : 10110001010111111111000011111101; 729 : 11111111111111110001010100111011; - 730 : 11010111100001010000010100110010; - 731 : 10111000110111111111000011111101; - 732 : 00000000010000000000010100111011; - 733 : 10110001000111111111000011111101; - 734 : 11111111111111110001010100111011; - 735 : 11011000010001010000010100110010; - 736 : 10110111100111111111000011111101; - 737 : 11111110100000000010011110110000; - 738 : 00000000000010000000011100111011; - 739 : 00000000111001111111011110110011; - 740 : 00000000000001111001011001110001; - 741 : 00000000001100000000010100110010; - 742 : 10111011100111111111000011111101; - 743 : 11110001100111111111000011111101; - 744 : 11111010000001010000001011110001; - 745 : 11111111000111111111000001111101; - 746 : 00000000010000000000100110111011; - 747 : 00000000010010011000010110110010; - 748 : 00000000000001000000010100110010; - 749 : 11011111100111111111000011111101; - 750 : 00000000000001010000101000110010; - 751 : 00000000100010011000010110110010; - 752 : 00000000000001000000010100110010; - 753 : 11011110100111111111000011111101; - 754 : 11111111000000000010110000110000; - 755 : 00000000000001010000101010110010; - 756 : 11111111110010100111101110110010; - 757 : 00000000000000000000100100110010; - 758 : 00000000000000000000010010110010; - 759 : 00000000110010011000100110110010; - 760 : 00000001001110010000010110110011; - 761 : 00000101011110010001110001110001; - 762 : 00000001010101001000010010110011; - 763 : 00000000001000000000010100110010; - 764 : 11111010000001001001010011110001; - 765 : 11111111111111110001010100111011; - 766 : 11011000110001010000010100110010; - 767 : 10101111110111111111000011111101; - 768 : 00000010110000010010000010110000; - 769 : 00000010100000010010010000110000; - 770 : 10000000000000000000011110111011; - 771 : 00000001010001111010001000110001; - 772 : 00000000000010110010000000110001; - 773 : 00000010010000010010010010110000; - 774 : 00000010000000010010100100110000; - 775 : 00000001110000010010100110110000; - 776 : 00000001100000010010101000110000; - 777 : 00000001010000010010101010110000; - 778 : 00000001000000010010101100110000; - 779 : 00000000110000010010101110110000; - 780 : 00000000100000010010110000110000; - 781 : 00000011000000010000000100110010; - 782 : 00000000000000001000000001111001; - 783 : 00000000000001000000010100110010; - 784 : 11010110110111111111000011111101; - 785 : 00000001001011000000011110110011; - 786 : 00000000101001001000010010110011; - 787 : 00000000101001111010000000110001; - 788 : 00000000010010010000100100110010; - 789 : 11111000110111111111000001111101; - 790 : 11111101000000010000000100110010; - 791 : 00000010100000010010010000110001; - 792 : 00000010100100010010001000110001; - 793 : 00000001001100010010111000110001; - 794 : 00000010000100010010011000110001; - 795 : 00000011001000010010000000110001; - 796 : 00000001010000010010110000110001; - 797 : 00000000000001010000010010110010; - 798 : 00000000101100010010011000110001; - 799 : 00000000000000000000010000110010; - 800 : 00000000010000000000100110110010; - 801 : 00000000110000010000011110110010; - 802 : 00000000100001111000011110110011; - 803 : 00000000000001111100101000110000; - 804 : 11011101000111111111000011111101; - 805 : 11010000000111111111000011111101; - 806 : 00000000001000000000010100110010; - 807 : 11001010010111111111000011111101; - 808 : 00000000100001001000100100110011; - 809 : 00000000000010010000010100110010; - 810 : 11001011000111111111000011111101; - 811 : 00000000000010100000010100110010; - 812 : 11001001000111111111000011111101; - 813 : 11000111100111111111000011111101; - 814 : 11011100100111111111000011111101; - 815 : 00000000000101010111010100110010; - 816 : 11111110000001010001110011110001; - 817 : 00000000000101000000010000110010; - 818 : 11111011001101000001111011110001; - 819 : 00000010110000010010000010110000; - 820 : 00000010100000010010010000110000; - 821 : 00000010010000010010010010110000; - 822 : 00000010000000010010100100110000; - 823 : 00000001110000010010100110110000; - 824 : 00000001100000010010101000110000; - 825 : 00000011000000010000000100110010; - 826 : 00000000000000001000000001111001; - 827 : 11111111000000010000000100110010; - 828 : 00000000000100010010011000110001; - 829 : 00000000100000010010010000110001; - 830 : 00000000100000000000011110110010; - 831 : 00110000000001111011000001110011; - 832 : 11111111000000000010010000110000; - 833 : 00000000000001010000010001110001; - 834 : 01000000010000000000010000111011; + 730 : 11010111000001010000010100110010; + 731 : 10110111110111111111000011111101; + 732 : 11111110100000000010011110110000; + 733 : 00000000000010000000011100111011; + 734 : 00000000111001111111011110110011; + 735 : 00000000000001111001011001110001; + 736 : 00000000001100000000010100110010; + 737 : 10111011110111111111000011111101; + 738 : 11110001100111111111000011111101; + 739 : 11111010000001010000001011110001; + 740 : 11111111000111111111000001111101; + 741 : 00000000010000000000100110111011; + 742 : 00000000010010011000010110110010; + 743 : 00000000000001000000010100110010; + 744 : 11011111100111111111000011111101; + 745 : 00000000000001010000101000110010; + 746 : 00000000100010011000010110110010; + 747 : 00000000000001000000010100110010; + 748 : 11011110100111111111000011111101; + 749 : 11111111000000000010110000110000; + 750 : 00000000000001010000101010110010; + 751 : 11111111110010100111101110110010; + 752 : 00000000000000000000100100110010; + 753 : 00000000000000000000010010110010; + 754 : 00000000110010011000100110110010; + 755 : 00000001001110010000010110110011; + 756 : 00000101011110010001110001110001; + 757 : 00000001010101001000010010110011; + 758 : 00000000001000000000010100110010; + 759 : 11111010000001001001010011110001; + 760 : 11111111111111110001010100111011; + 761 : 11010111100001010000010100110010; + 762 : 10110000000111111111000011111101; + 763 : 00000010110000010010000010110000; + 764 : 00000010100000010010010000110000; + 765 : 10000000000000000000011110111011; + 766 : 00000001010001111010001000110001; + 767 : 00000000000010110010000000110001; + 768 : 00000010010000010010010010110000; + 769 : 00000010000000010010100100110000; + 770 : 00000001110000010010100110110000; + 771 : 00000001100000010010101000110000; + 772 : 00000001010000010010101010110000; + 773 : 00000001000000010010101100110000; + 774 : 00000000110000010010101110110000; + 775 : 00000000100000010010110000110000; + 776 : 00000011000000010000000100110010; + 777 : 00000000000000001000000001111001; + 778 : 00000000000001000000010100110010; + 779 : 11010110110111111111000011111101; + 780 : 00000001001011000000011110110011; + 781 : 00000000101001001000010010110011; + 782 : 00000000101001111010000000110001; + 783 : 00000000010010010000100100110010; + 784 : 11111000110111111111000001111101; + 785 : 11111101000000010000000100110010; + 786 : 00000010100000010010010000110001; + 787 : 00000010100100010010001000110001; + 788 : 00000001001100010010111000110001; + 789 : 00000010000100010010011000110001; + 790 : 00000011001000010010000000110001; + 791 : 00000001010000010010110000110001; + 792 : 00000000000001010000010010110010; + 793 : 00000000101100010010011000110001; + 794 : 00000000000000000000010000110010; + 795 : 00000000010000000000100110110010; + 796 : 00000000110000010000011110110010; + 797 : 00000000100001111000011110110011; + 798 : 00000000000001111100101000110000; + 799 : 11011101000111111111000011111101; + 800 : 11010000000111111111000011111101; + 801 : 00000000001000000000010100110010; + 802 : 11001010100111111111000011111101; + 803 : 00000000100001001000100100110011; + 804 : 00000000000010010000010100110010; + 805 : 11001011000111111111000011111101; + 806 : 00000000000010100000010100110010; + 807 : 11001001010111111111000011111101; + 808 : 11000111110111111111000011111101; + 809 : 11011100100111111111000011111101; + 810 : 00000000000101010111010100110010; + 811 : 11111110000001010001110011110001; + 812 : 00000000000101000000010000110010; + 813 : 11111011001101000001111011110001; + 814 : 00000010110000010010000010110000; + 815 : 00000010100000010010010000110000; + 816 : 00000010010000010010010010110000; + 817 : 00000010000000010010100100110000; + 818 : 00000001110000010010100110110000; + 819 : 00000001100000010010101000110000; + 820 : 00000011000000010000000100110010; + 821 : 00000000000000001000000001111001; + 822 : 11111111000000010000000100110010; + 823 : 00000000000100010010011000110001; + 824 : 00000000100000010010010000110001; + 825 : 00000000100000000000011110110010; + 826 : 00110000000001111011000001110011; + 827 : 11111111000000000010010000110000; + 828 : 00000000000001010000010001110001; + 829 : 01000000010000000000010000111011; + 830 : 11111111111111110001010100111011; + 831 : 11010111110001010000010100110010; + 832 : 10011110100111111111000011111101; + 833 : 00000000000001000000010100110010; + 834 : 10010110110111111111000011111101; 835 : 11111111111111110001010100111011; - 836 : 11011001000001010000010100110010; - 837 : 10011110010111111111000011111101; - 838 : 00000000000001000000010100110010; - 839 : 10010110100111111111000011111101; - 840 : 11111111111111110001010100111011; - 841 : 11011010000001010000010100110010; - 842 : 10011101000111111111000011111101; - 843 : 00000000000000010000011100111011; - 844 : 11111010000000000010011110110000; - 845 : 11111110000001111100111011110001; - 846 : 00000000111001111111011110110011; - 847 : 11111110000001111000101011110001; - 848 : 00000000000001000000000011111001; - 849 : 01010010010001010000101000111000; - 850 : 00000000000000000101111101010010; - 851 : 01010010010100100100010100010100; - 852 : 01000011010110000100010101111110; - 853 : 00000000000000000000000000000001; - 854 : 01101001011000010111011101100000; - 855 : 01100111011011100110100101001011; - 856 : 01101111011001010110111000000001; - 857 : 00110010001100110111011001010011; - 858 : 01100101011110000110010101111110; - 859 : 01101110011010010110001000011101; - 860 : 00100000001011100010111000011101; - 861 : 00000000000000000000000000000000; - 862 : 01100100011000010110111101001100; - 863 : 00100000011001110110111001100101; - 864 : 00000000000000000100000000000101; - 865 : 00101110001011100010111000100101; - 866 : 00000000000000000000000000010100; - 867 : 00000000000000000100101101111100; - 868 : 01110100011011110110111101010000; - 869 : 00100000011001110110111001100101; - 870 : 01101101011011110111001001011001; - 871 : 00000000000000000000000000000001; - 872 : 00001010001011100010111000011101; - 873 : 00000000000000000000000000010100; - 874 : 00111100000010100000101000010100; - 875 : 01000101010011100010000000001111; - 876 : 00110011010101100101001001111100; - 877 : 01101111010000100010000000010011; - 878 : 01101111011011000111010001111101; - 879 : 01110010011001010110010001100001; - 880 : 00001010001111100011111000000001; - 881 : 01000100010011000100001000010100; - 882 : 01001101001000000011101001011010; - 883 : 00100000001000000111001001100001; - 884 : 00110000001100100010000000001011; - 885 : 01001000000010100011001100010011; - 886 : 00100000001110100101011001111010; - 887 : 00000000000000000000000000000001; - 888 : 01000100010010010100001100010100; - 889 : 00000000001000000010000000010111; - 890 : 01001011010011000100001100010100; + 836 : 11011000110001010000010100110010; + 837 : 10011101010111111111000011111101; + 838 : 00000000000000010000011100111011; + 839 : 11111010000000000010011110110000; + 840 : 11111110000001111100111011110001; + 841 : 00000000111001111111011110110011; + 842 : 11111110000001111000101011110001; + 843 : 00000000000001000000000011111001; + 844 : 01010010010001010000101000111000; + 845 : 00000000000000000101111101010010; + 846 : 01010010010100100100010100010100; + 847 : 01000011010110000100010101111110; + 848 : 00000000000000000000000000000001; + 849 : 01101001011000010111011101100000; + 850 : 01100111011011100110100101001011; + 851 : 01101111011001010110111000000001; + 852 : 00110010001100110111011001010011; + 853 : 01100101011110000110010101111110; + 854 : 01101110011010010110001000011101; + 855 : 00100000001011100010111000011101; + 856 : 00000000000000000000000000000000; + 857 : 01100100011000010110111101001100; + 858 : 00100000011001110110111001100101; + 859 : 00000000000000000100000000000101; + 860 : 00101110001011100010111000100101; + 861 : 00000000000000000000000000010100; + 862 : 00000000000000000100101101111100; + 863 : 01110100011011110110111101010000; + 864 : 00100000011001110110111001100101; + 865 : 01101101011011110111001001011001; + 866 : 00000000000000000000000000000001; + 867 : 00001010001011100010111000011101; + 868 : 00000000000000000000000000010100; + 869 : 00111100000010100000101000010100; + 870 : 01000101010011100010000000001111; + 871 : 00110011010101100101001001111100; + 872 : 01101111010000100010000000010011; + 873 : 01101111011011000111010001111101; + 874 : 01110010011001010110010001100001; + 875 : 00001010001111100011111000000001; + 876 : 01000100010011000100001000010100; + 877 : 01001010001000000011101001011010; + 878 : 00110010001000000110111001100001; + 879 : 00110000001100100010000000100011; + 880 : 01001000000010100011001100010011; + 881 : 00100000001110100101011001111010; + 882 : 00000000000000000000000000000001; + 883 : 01000100010010010100001100010100; + 884 : 00000000001000000010000000010111; + 885 : 01001011010011000100001100010100; + 886 : 00000000001000000010000000010111; + 887 : 01000001010100110100100100010100; + 888 : 00000000001000000010000000010111; + 889 : 00000000001000000010101100000001; + 890 : 01000011010011110101001100010100; 891 : 00000000001000000010000000010111; - 892 : 01000001010100110100100100010100; - 893 : 00000000001000000010000000010111; - 894 : 00000000001000000010101100000001; - 895 : 01000011010011110101001100010100; - 896 : 00000000001000000010000000010111; - 897 : 01000101010011010100100100010100; + 892 : 01000101010011010100100100010100; + 893 : 00000000001000000011101001101100; + 894 : 01110100011110010110001000000001; + 895 : 01000000001000000111001101101001; + 896 : 00000000000000000000000000000000; + 897 : 01000101010011010100010000010100; 898 : 00000000001000000011101001101100; - 899 : 01110100011110010110001000000001; - 900 : 01000000001000000111001101101001; - 901 : 00000000000000000000000000000000; - 902 : 01000101010011010100010000010100; - 903 : 00000000001000000011101001101100; - 904 : 01110100011101010100000100010100; - 905 : 01101111011011110110001001111101; - 906 : 01101110011010010010000001001011; - 907 : 00101110011100110011100000000001; - 908 : 01100101011100100101000000000001; - 909 : 01100001001000000111001101110011; - 910 : 01101011001000000111100101011101; - 911 : 01110100001000000111100101101001; - 912 : 01100010011000010010000001111101; - 913 : 00101110011101000111001001111101; - 914 : 00000000000000000000000000010100; - 915 : 01110010011011110110001001100000; - 916 : 00101110011001000110010101001011; - 917 : 00000000000000000000101000010100; - 918 : 01101001011000010111011001100000; - 919 : 01101100011000100110000101001101; - 920 : 01001101010000110010000001101001; - 921 : 00001010001110100111001101001000; - 922 : 00100000001110100110100000000001; - 923 : 01110000011011000110010101000100; - 924 : 00111010011100100010000000010100; - 925 : 01110011011001010101001000000001; - 926 : 01110100011100100110000101001011; - 927 : 00111010011101010010000000010100; - 928 : 01101100011100000101010100000001; - 929 : 00001010011001000110000101111101; - 930 : 00100000001110100111001100000001; - 931 : 01110010011011110111010001110010; - 932 : 01101111011101000010000001101001; + 899 : 01110101010000010000101000010100; + 900 : 01101111011000100110111101001011; + 901 : 01101001001000000111010001111101; + 902 : 01110011001110000010000001011101; + 903 : 01110010010100000010000000011101; + 904 : 00100000011100110111001101101001; + 905 : 00100000011110010110111001100001; + 906 : 00100000011110010110010101110101; + 907 : 01100001001000000110111101001011; + 908 : 01110100011100100110111101010001; + 909 : 00000000000000000000101000011101; + 910 : 01110010011011110110001001100000; + 911 : 00101110011001000110010101001011; + 912 : 00000000000000000000101000010100; + 913 : 01101001011000010111011001100000; + 914 : 01101100011000100110000101001101; + 915 : 01001101010000110010000001101001; + 916 : 00001010001110100111001101001000; + 917 : 00100000001110100110100000000001; + 918 : 01110000011011000110010101000100; + 919 : 00111010011100100010000000010100; + 920 : 01110011011001010101001000000001; + 921 : 01110100011100100110000101001011; + 922 : 00111010011101010010000000010100; + 923 : 01101100011100000101010100000001; + 924 : 00001010011001000110000101111101; + 925 : 00100000001110100111001100000001; + 926 : 01110010011011110111010001110010; + 927 : 01101111011101000010000001101001; + 928 : 01100001011011000110011000000001; + 929 : 00100000000010100110100001110011; + 930 : 01001100001000000011101001001101; + 931 : 00100000011001000110000101111101; + 932 : 01101101011011110111001001011001; 933 : 01100001011011000110011000000001; 934 : 00100000000010100110100001110011; - 935 : 01001100001000000011101001001101; - 936 : 00100000011001000110000101111101; + 935 : 01000010001000000011101001000111; + 936 : 00100000011101000110111101111101; 937 : 01101101011011110111001001011001; 938 : 01100001011011000110011000000001; - 939 : 00100000000010100110100001110011; - 940 : 01000010001000000011101001000111; - 941 : 00100000011101000110111101111101; - 942 : 01101101011011110111001001011001; - 943 : 01100001011011000110011000000001; - 944 : 00101000001000000110100001110011; - 945 : 00101001010100000100100101000110; - 946 : 00111010011001010010000000010100; - 947 : 01100101011110000100010100000001; - 948 : 01100101011101000111010101110001; - 949 : 00000000000000000000000000000000; - 950 : 01000100010011010100001100010100; - 951 : 00000000001000000011111000010111; - 952 : 01100101001000000110111101011100; - 953 : 01110101011000110110010101000111; - 954 : 01101100011000100110000101001011; - 955 : 01110110011000010010000001101001; - 956 : 01100001011011000110100101100001; - 957 : 00101110011001010110110001010001; - 958 : 00000000000000000000000000000000; - 959 : 01110100011010010111001001111010; - 960 : 00000000000000000010000001101001; - 961 : 01110100011110010110001000000001; - 962 : 01110100001000000111001101101001; - 963 : 01010000010100110010000001111101; - 964 : 01101100011001100010000001100100; - 965 : 00100000011010000111001101100001; - 966 : 00000000000000000010000001000000; - 967 : 01111001001010000010000000111111; - 968 : 00100000001010010110111000111101; - 969 : 00000000000000000000000000000000; - 970 : 01100001011011000100011000010100; - 971 : 01101110011010010110100001110011; - 972 : 00101110001011100010111001111001; - 973 : 00000000000000000000000000000001; - 974 : 01100101001000000110111101011100; - 975 : 01110101011000110110010101000111; - 976 : 01101100011000100110000101001011; - 977 : 00000000000000000010111001101001; - 978 : 00100000001010010110001100000101; - 979 : 01010011001000000111100101010001; - 980 : 01101000011100000110010101001011; - 981 : 01001110001000000110111001100001; - 982 : 01101001011101000110110001111101; - 983 : 01100111000010100110011101011101; - 984 : 01110101011010000111010001100101; - 985 : 01101111011000110010111001010001; - 986 : 01110100011100110010111101101101; - 987 : 01110100011011000110111101011101; - 988 : 00101111011001110110111001100101; - 989 : 01110010011011110110010101011101; - 990 : 00000000001100100011001101011011; - 991 : 01100001011101100110111001100100; - 992 : 00100000011001000110100101001101; - 993 : 00000000010001000100110101110000; - 994 : 00110011001100100011000100000011; - 995 : 00110111001101100011010100001011; - 996 : 01100010011000010011100100000111; - 997 : 01100110011001010110010001110001; - 998 : 00000000010001010101100001101000; - 999 : 01011010010010010101001100000000; - 1000 : 01001000010000110000000001101000; - 1001 : 01000110000000000101001101110100; - 1002 : 00000000010010000101001101001100; + 939 : 00101000001000000110100001110011; + 940 : 00101001010100000100100101000110; + 941 : 00111010011001010010000000010100; + 942 : 01100101011110000100010100000001; + 943 : 01100101011101000111010101110001; + 944 : 00000000000000000000000000000000; + 945 : 01000100010011010100001100010100; + 946 : 00000000001000000011111000010111; + 947 : 01100101001000000110111101011100; + 948 : 01110101011000110110010101000111; + 949 : 01101100011000100110000101001011; + 950 : 01110110011000010010000001101001; + 951 : 01100001011011000110100101100001; + 952 : 00101110011001010110110001010001; + 953 : 00000000000000000000000000000000; + 954 : 01110100011010010111001001111010; + 955 : 00000000000000000010000001101001; + 956 : 01110100011110010110001000000001; + 957 : 01110100001000000111001101101001; + 958 : 01010000010100110010000001111101; + 959 : 01101100011001100010000001100100; + 960 : 00100000011010000111001101100001; + 961 : 00000000000000000010000001000000; + 962 : 01111001001010000010000000111111; + 963 : 00100000001010010110111000111101; + 964 : 00000000000000000000000000000000; + 965 : 01100001011011000100011000010100; + 966 : 01101110011010010110100001110011; + 967 : 00101110001011100010111001111001; + 968 : 00000000000000000000000000000001; + 969 : 01100101001000000110111101011100; + 970 : 01110101011000110110010101000111; + 971 : 01101100011000100110000101001011; + 972 : 00000000000000000010111001101001; + 973 : 00100000001010010110001100000101; + 974 : 01010011001000000111100101010001; + 975 : 01101000011100000110010101001011; + 976 : 01001110001000000110111001100001; + 977 : 01101001011101000110110001111101; + 978 : 01100111000010100110011101011101; + 979 : 01110101011010000111010001100101; + 980 : 01101111011000110010111001010001; + 981 : 01110100011100110010111101101101; + 982 : 01110100011011000110111101011101; + 983 : 00101111011001110110111001100101; + 984 : 01110010011011110110010101011101; + 985 : 00000000001100100011001101011011; + 986 : 01100001011101100110111001100100; + 987 : 00100000011001000110100101001101; + 988 : 00000000010001000100110101110000; + 989 : 00110011001100100011000100000011; + 990 : 00110111001101100011010100001011; + 991 : 01100010011000010011100100000111; + 992 : 01100110011001010110010001110001; + 993 : 00000000010001010101100001101000; + 994 : 01011010010010010101001100000000; + 995 : 01001000010000110000000001101000; + 996 : 01000110000000000101001101110100; + 997 : 00000000010010000101001101001100; + 998 : 00000000000000000000000000000000; + 999 : 00000000000000000000000000000000; + 1000 : 00000000000000000000000000000000; + 1001 : 00000000000000000000000000000000; + 1002 : 00000000000000000000000000000000; 1003 : 00000000000000000000000000000000; 1004 : 00000000000000000000000000000000; 1005 : 00000000000000000000000000000000; diff --git a/proj_quartus/db/bootloarder_1.quiproj.2250.rdr.flock b/proj_quartus/db/bootloarder_1.quiproj.2250.rdr.flock new file mode 100644 index 000000000..e69de29bb diff --git a/proj_quartus/db/prev_cmp_bootloarder_1.qmsg b/proj_quartus/db/prev_cmp_bootloarder_1.qmsg index 89408ed40..9dcbef1da 100644 --- a/proj_quartus/db/prev_cmp_bootloarder_1.qmsg +++ b/proj_quartus/db/prev_cmp_bootloarder_1.qmsg @@ -1,252 +1,255 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678183037066 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678183037066 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 10:57:16 2023 " "Processing started: Tue Mar 7 10:57:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678183037066 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183037066 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test " "Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183037066 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678183037255 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678183037256 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl " "Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042017 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_test_setup_bootloader " "Found entity 1: neorv32_test_setup_bootloader" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042017 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042017 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_imem-neorv32_imem_rtl " "Found design unit 1: neorv32_imem-neorv32_imem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 46 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042017 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042017 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_dmem-neorv32_dmem_rtl " "Found design unit 1: neorv32_dmem-neorv32_dmem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 42 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042018 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042018 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xirq-neorv32_xirq_rtl " "Found design unit 1: neorv32_xirq-neorv32_xirq_rtl" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" 72 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042018 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xirq " "Found entity 1: neorv32_xirq" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042018 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042018 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xip-neorv32_xip_rtl " "Found design unit 1: neorv32_xip-neorv32_xip_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_xip_phy-neorv32_xip_phy_rtl " "Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 501 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xip " "Found entity 1: neorv32_xip" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 48 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_xip_phy " "Found entity 2: neorv32_xip_phy" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 476 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042020 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wishbone-neorv32_wishbone_rtl " "Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" 104 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wishbone " "Found entity 1: neorv32_wishbone" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" 55 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042020 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042020 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wdt-neorv32_wdt_rtl " "Found design unit 1: neorv32_wdt-neorv32_wdt_rtl" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042021 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wdt " "Found entity 1: neorv32_wdt" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" 50 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042021 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042021 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_uart-neorv32_uart_rtl " "Found design unit 1: neorv32_uart-neorv32_uart_rtl" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042022 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_uart " "Found entity 1: neorv32_uart" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042022 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042022 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_twi-neorv32_twi_rtl " "Found design unit 1: neorv32_twi-neorv32_twi_rtl" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" 71 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042023 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_twi " "Found entity 1: neorv32_twi" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042023 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042023 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_trng-neorv32_trng_rtl " "Found design unit 1: neorv32_trng-neorv32_trng_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neoTRNG-neoTRNG_rtl " "Found design unit 2: neoTRNG-neoTRNG_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 310 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neoTRNG_cell-neoTRNG_cell_rtl " "Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 638 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_trng " "Found entity 1: neorv32_trng" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} { "Info" "ISGN_ENTITY_NAME" "2 neoTRNG " "Found entity 2: neoTRNG" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 292 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} { "Info" "ISGN_ENTITY_NAME" "3 neoTRNG_cell " "Found entity 3: neoTRNG_cell" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 622 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042024 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042024 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_top-neorv32_top_rtl " "Found design unit 1: neorv32_top-neorv32_top_rtl" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 229 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042026 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_top " "Found entity 1: neorv32_top" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042026 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042026 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sysinfo-neorv32_sysinfo_rtl " "Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042027 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sysinfo " "Found entity 1: neorv32_sysinfo" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042027 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042027 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_spi-neorv32_spi_rtl " "Found design unit 1: neorv32_spi-neorv32_spi_rtl" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" 69 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042028 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_spi " "Found entity 1: neorv32_spi" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042028 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042028 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sdi-neorv32_sdi_rtl " "Found design unit 1: neorv32_sdi-neorv32_sdi_rtl" { } { { "../neorv32/rtl/core/neorv32_sdi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" 69 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042028 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sdi " "Found entity 1: neorv32_sdi" { } { { "../neorv32/rtl/core/neorv32_sdi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042028 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042028 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_pwm-neorv32_pwm_rtl " "Found design unit 1: neorv32_pwm-neorv32_pwm_rtl" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042029 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_pwm " "Found entity 1: neorv32_pwm" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042029 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042029 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd 4 0 " "Found 4 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_package (neorv32) " "Found design unit 1: neorv32_package (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 39 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_package-body " "Found design unit 2: neorv32_package-body" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2260 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_bootloader_image (neorv32) " "Found design unit 3: neorv32_bootloader_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2580 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "4 neorv32_application_image (neorv32) " "Found design unit 4: neorv32_application_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2597 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042033 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_onewire-neorv32_onewire_rtl " "Found design unit 1: neorv32_onewire-neorv32_onewire_rtl" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_onewire " "Found entity 1: neorv32_onewire" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042033 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042033 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_neoled-neorv32_neoled_rtl " "Found design unit 1: neorv32_neoled-neorv32_neoled_rtl" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042034 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_neoled " "Found entity 1: neorv32_neoled" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042034 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042034 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_mtime-neorv32_mtime_rtl " "Found design unit 1: neorv32_mtime-neorv32_mtime_rtl" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042035 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_mtime " "Found entity 1: neorv32_mtime" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042035 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042035 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_imem " "Found entity 1: neorv32_imem" { } { { "../neorv32/rtl/core/neorv32_imem.entity.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042035 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042035 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_icache-neorv32_icache_rtl " "Found design unit 1: neorv32_icache-neorv32_icache_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042036 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_icache_memory-neorv32_icache_memory_rtl " "Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 414 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042036 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_icache " "Found entity 1: neorv32_icache" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042036 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_icache_memory " "Found entity 2: neorv32_icache_memory" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 385 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042036 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042036 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gptmr-neorv32_gptmr_rtl " "Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" 66 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042037 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gptmr " "Found entity 1: neorv32_gptmr" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042037 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042037 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gpio-neorv32_gpio_rtl " "Found design unit 1: neorv32_gpio-neorv32_gpio_rtl" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" 62 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042037 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gpio " "Found entity 1: neorv32_gpio" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042037 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042037 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_fifo-neorv32_fifo_rtl " "Found design unit 1: neorv32_fifo-neorv32_fifo_rtl" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042038 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_fifo " "Found entity 1: neorv32_fifo" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_dmem " "Found entity 1: neorv32_dmem" { } { { "../neorv32/rtl/core/neorv32_dmem.entity.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042038 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042038 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dtm-neorv32_debug_dtm_rtl " "Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042039 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dtm " "Found entity 1: neorv32_debug_dtm" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042039 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042039 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dm-neorv32_debug_dm_rtl " "Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" 88 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042040 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dm " "Found entity 1: neorv32_debug_dm" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042040 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042040 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_regfile-neorv32_cpu_regfile_rtl " "Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042041 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_regfile " "Found entity 1: neorv32_cpu_regfile" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 54 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042041 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042041 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl " "Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042042 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_decompressor " "Found entity 1: neorv32_cpu_decompressor" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042042 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042042 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl " "Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 65 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042043 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_shifter " "Found entity 1: neorv32_cpu_cp_shifter" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042043 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042043 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl " "Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042043 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_muldiv " "Found entity 1: neorv32_cpu_cp_muldiv" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042043 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042043 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl " "Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl " "Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1221 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl " "Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1609 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_fpu " "Found entity 1: neorv32_cpu_cp_fpu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_cpu_cp_fpu_normalizer " "Found entity 2: neorv32_cpu_cp_fpu_normalizer" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1199 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} { "Info" "ISGN_ENTITY_NAME" "3 neorv32_cpu_cp_fpu_f2i " "Found entity 3: neorv32_cpu_cp_fpu_f2i" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1586 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042046 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042046 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl " "Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 68 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042047 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_cfu " "Found entity 1: neorv32_cpu_cp_cfu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042047 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042047 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl " "Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042048 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_bitmanip " "Found entity 1: neorv32_cpu_cp_bitmanip" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042048 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042048 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_control-neorv32_cpu_control_rtl " "Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 133 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042053 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_control " "Found entity 1: neorv32_cpu_control" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042053 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042053 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_bus-neorv32_cpu_bus_rtl " "Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 85 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042054 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_bus " "Found entity 1: neorv32_cpu_bus" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042054 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042054 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_alu-neorv32_cpu_cpu_rtl " "Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042055 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_alu " "Found entity 1: neorv32_cpu_alu" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042055 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042055 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu-neorv32_cpu_rtl " "Found design unit 1: neorv32_cpu-neorv32_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 111 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042056 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu " "Found entity 1: neorv32_cpu" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042056 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042056 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cfs-neorv32_cfs_rtl " "Found design unit 1: neorv32_cfs-neorv32_cfs_rtl" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042056 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cfs " "Found entity 1: neorv32_cfs" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042056 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042056 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_busswitch-neorv32_busswitch_rtl " "Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" 91 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042057 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_busswitch " "Found entity 1: neorv32_busswitch" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042057 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042057 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bus_keeper-neorv32_bus_keeper_rtl " "Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042058 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_bus_keeper " "Found entity 1: neorv32_bus_keeper" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042058 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042058 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bootloader_image-body " "Found design unit 1: neorv32_bootloader_image-body" { } { { "../neorv32/rtl/core/neorv32_bootloader_image.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042058 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042058 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_boot_rom-neorv32_boot_rom_rtl " "Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 58 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042059 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_boot_rom " "Found entity 1: neorv32_boot_rom" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042059 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042059 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_application_image-body " "Found design unit 1: neorv32_application_image-body" { } { { "../neorv32/rtl/core/neorv32_application_image.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042059 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042059 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "neorv32_test_setup_bootloader " "Elaborating entity \"neorv32_test_setup_bootloader\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678183042214 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_top neorv32_top:neorv32_top_inst " "Elaborating entity \"neorv32_top\" for hierarchy \"neorv32_top:neorv32_top_inst\"" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "neorv32_top_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042224 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rstn_ext neorv32_top.vhd(241) " "Verilog HDL or VHDL warning at neorv32_top.vhd(241): object \"rstn_ext\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 241 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cpu_s neorv32_top.vhd(269) " "Verilog HDL or VHDL warning at neorv32_top.vhd(269): object \"cpu_s\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_enable neorv32_top.vhd(374) " "Verilog HDL or VHDL warning at neorv32_top.vhd(374): object \"xip_enable\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 374 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_page neorv32_top.vhd(375) " "Verilog HDL or VHDL warning at neorv32_top.vhd(375): object \"xip_page\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 375 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" neorv32_top.vhd(381) " "VHDL Assertion Statement at neorv32_top.vhd(381): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 381 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" neorv32_top.vhd(406) " "VHDL Assertion Statement at neorv32_top.vhd(406): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 406 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042226 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst " "Elaborating entity \"neorv32_cpu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_cpu_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 528 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042227 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" neorv32_cpu.vhd(161) " "VHDL Assertion Statement at neorv32_cpu.vhd(161): assertion is false - report \"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 161 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042229 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IM_Zicsr_Zicntr\" neorv32_cpu.vhd(164) " "VHDL Assertion Statement at neorv32_cpu.vhd(164): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IM_Zicsr_Zicntr\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 164 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042229 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU NOTE: Assuming this is real hardware.\" neorv32_cpu.vhd(186) " "VHDL Assertion Statement at neorv32_cpu.vhd(186): assertion is false - report \"NEORV32 CPU NOTE: Assuming this is real hardware.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 186 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042229 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" neorv32_cpu.vhd(196) " "VHDL Assertion Statement at neorv32_cpu.vhd(196): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 196 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042229 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_control neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst " "Elaborating entity \"neorv32_cpu_control\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_control_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 256 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042230 ""} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(250) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(250): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 250 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(252) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(252): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 252 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(254) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(254): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 254 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(256) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(256): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 256 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(258) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(258): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 258 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(366) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(366): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 366 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_L2_HDL_CONDITION_EXP_IS_CONST" "neorv32_cpu_control.vhd(1154) " "Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1154): conditional expression evaluates to a constant" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 1154 0 0 } } } 0 10037 "Verilog HDL or VHDL warning at %1!s!: conditional expression evaluates to a constant" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2084) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2084): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2084 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2490) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2490): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2490 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2527) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2527): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2527 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_IGNORED_ASSIGNMENT_TO_NULL_RANGE" "neorv32_cpu_control.vhd(2560) " "VHDL warning at neorv32_cpu_control.vhd(2560): ignored assignment of value to null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2560 0 0 } } } 0 10296 "VHDL warning at %1!s!: ignored assignment of value to null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\prefetch_buffer:0:prefetch_buffer_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 495 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042239 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_regfile neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst " "Elaborating entity \"neorv32_cpu_regfile\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_regfile_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 348 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042242 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborating entity \"altsyncram\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042267 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042267 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 5 " "Parameter \"WIDTHAD_A\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32 " "Parameter \"NUMWORDS_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 32 " "Parameter \"WIDTH_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 5 " "Parameter \"WIDTHAD_B\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32 " "Parameter \"NUMWORDS_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183042267 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678183042267 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u2n1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u2n1 " "Found entity 1: altsyncram_u2n1" { } { { "db/altsyncram_u2n1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_u2n1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183042299 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183042299 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u2n1 neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated " "Elaborating entity \"altsyncram_u2n1\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "/home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042299 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_alu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst " "Elaborating entity \"neorv32_cpu_alu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_alu_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 374 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042306 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_shifter neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst " "Elaborating entity \"neorv32_cpu_cp_shifter\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "neorv32_cpu_cp_shifter_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042308 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_muldiv neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst " "Elaborating entity \"neorv32_cpu_cp_muldiv\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 240 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042310 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_bus neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst " "Elaborating entity \"neorv32_cpu_bus\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_bus_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 412 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042313 ""} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(114) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 114 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(115) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 115 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(116) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 116 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(117) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 117 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(118) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 118 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(119) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 119 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(120) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 120 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042314 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(121) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 121 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(122) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 122 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(427) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 427 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(446) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 446 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(467) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 467 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(500) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 500 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678183042315 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_busswitch neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst " "Elaborating entity \"neorv32_busswitch\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_busswitch_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 676 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042316 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_bus_keeper neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst " "Elaborating entity \"neorv32_bus_keeper\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_bus_keeper_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 748 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042317 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_imem neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst " "Elaborating entity \"neorv32_imem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_imem_inst_true:neorv32_int_imem_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 784 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042318 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" neorv32_imem.default.vhd(89) " "VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 89 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042319 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" neorv32_imem.default.vhd(96) " "VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 96 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042319 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_dmem neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst " "Elaborating entity \"neorv32_dmem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 813 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042320 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" neorv32_dmem.default.vhd(72) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 72 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042321 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 8192 bytes).\" neorv32_dmem.default.vhd(75) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 8192 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 75 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042321 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_boot_rom neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst " "Elaborating entity \"neorv32_boot_rom\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 841 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042321 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" neorv32_boot_rom.vhd(81) " "VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 81 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678183042326 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_gpio neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst " "Elaborating entity \"neorv32_gpio\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_gpio_inst_true:neorv32_gpio_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1082 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042327 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_mtime neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst " "Elaborating entity \"neorv32_mtime\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_mtime_inst_true:neorv32_mtime_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1154 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042328 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_uart neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst " "Elaborating entity \"neorv32_uart\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_uart0_inst_true:neorv32_uart0_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1183 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042330 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "tx_engine_fifo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 334 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042331 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "rx_engine_fifo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 510 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042333 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_sysinfo neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst " "Elaborating entity \"neorv32_sysinfo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_sysinfo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1580 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183042334 ""} -{ "Info" "IOPT_INFERENCING_SUMMARY" "9 " "Inferred 9 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "IINFER_ALTSYNCRAM_ROM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0\"" { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE ROM " "Parameter OPERATION_MODE set to ROM" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 10 " "Parameter WIDTHAD_A set to 10" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 1024 " "Parameter NUMWORDS_A set to 1024" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_A UNREGISTERED " "Parameter OUTDATA_REG_A set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RAM_BLOCK_TYPE AUTO " "Parameter RAM_BLOCK_TYPE set to AUTO" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter INIT_FILE set to bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 276031 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\"" 0 0 "Design Software" 0 -1 1678183044605 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678183044605 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183044622 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 11 " "Parameter \"WIDTHAD_A\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 2048 " "Parameter \"NUMWORDS_A\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 11 " "Parameter \"WIDTHAD_B\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 2048 " "Parameter \"NUMWORDS_B\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044622 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678183044622 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_c6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_c6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_c6q1 " "Found entity 1: altsyncram_c6q1" { } { { "db/altsyncram_c6q1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_c6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183044650 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183044650 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183044665 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 12 " "Parameter \"WIDTHAD_A\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4096 " "Parameter \"NUMWORDS_A\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 12 " "Parameter \"WIDTHAD_B\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4096 " "Parameter \"NUMWORDS_B\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044665 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678183044665 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_o6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_o6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_o6q1 " "Found entity 1: altsyncram_o6q1" { } { { "db/altsyncram_o6q1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_o6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183044693 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183044693 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183044708 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE ROM " "Parameter \"OPERATION_MODE\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 10 " "Parameter \"WIDTHAD_A\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 1024 " "Parameter \"NUMWORDS_A\" = \"1024\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter \"INIT_FILE\" = \"bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678183044708 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678183044708 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_bg91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_bg91 " "Found entity 1: altsyncram_bg91" { } { { "db/altsyncram_bg91.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_bg91.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678183044742 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183044742 ""} -{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1678183044931 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678183046504 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "43 " "43 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1678183048208 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183048288 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1678183048288 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678183048500 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678183048500 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "2640 " "Implemented 2640 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678183048703 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678183048703 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2468 " "Implemented 2468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678183048703 ""} { "Info" "ICUT_CUT_TM_RAMS" "160 " "Implemented 160 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1678183048703 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678183048703 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 55 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 55 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "647 " "Peak virtual memory: 647 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678183048722 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 10:57:28 2023 " "Processing ended: Tue Mar 7 10:57:28 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678183048722 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678183048722 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:19 " "Total CPU time (on all processors): 00:00:19" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678183048722 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678183048722 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1678183049849 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678183049849 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 10:57:29 2023 " "Processing started: Tue Mar 7 10:57:29 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678183049849 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1678183049849 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_fit --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1678183049849 ""} -{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1678183049874 ""} -{ "Info" "0" "" "Project = bootloarder_1" { } { } 0 0 "Project = bootloarder_1" 0 0 "Fitter" 0 0 1678183049875 ""} -{ "Info" "0" "" "Revision = test" { } { } 0 0 "Revision = test" 0 0 "Fitter" 0 0 1678183049875 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678183050012 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678183050012 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "test 5CSEMA5F31C6 " "Selected device 5CSEMA5F31C6 for design \"test\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678183050036 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678183050065 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678183050065 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678183050396 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1678183050411 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678183050504 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1678183050554 ""} -{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1678183058752 ""} -{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk_i~inputCLKENA0 1507 global CLKCTRL_G6 " "clk_i~inputCLKENA0 with 1507 fanout uses global clock CLKCTRL_G6" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1678183058860 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1678183058860 ""} -{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183058860 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678183058890 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678183058896 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678183058907 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678183058917 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678183058917 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678183058921 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678183059678 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678183059679 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678183059716 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1678183059716 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678183059717 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678183059913 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678183059918 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678183059918 ""} -{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:10 " "Fitter preparation operations ending: elapsed time is 00:00:10" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183060030 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678183064014 ""} -{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1678183064442 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:14 " "Fitter placement preparation operations ending: elapsed time is 00:00:14" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183077564 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678183089107 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678183093477 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:04 " "Fitter placement operations ending: elapsed time is 00:00:04" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183093477 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678183094590 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "1 " "Router estimated average interconnect usage is 1% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "18 X56_Y11 X66_Y22 " "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X56_Y11 to location X66_Y22" { } { { "loc" "" { Generic "/home/stepan/Travail/SETI/Projet/proj_quartus/" { { 1 { 0 "Router estimated peak interconnect usage is 18% of the available device resources in the region that extends from location X56_Y11 to location X66_Y22"} { { 12 { 0 ""} 56 11 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678183099181 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678183099181 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678183103585 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678183103585 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:06 " "Fitter routing operations ending: elapsed time is 00:00:06" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183103588 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 3.26 " "Total time spent on timing analysis during the Fitter is 3.26 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678183106531 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678183106605 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678183107391 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678183107393 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678183108115 ""} -{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:05 " "Fitter post-fit operations ending: elapsed time is 00:00:05" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678183111629 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678183111840 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "2426 " "Peak virtual memory: 2426 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678183112836 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 10:58:32 2023 " "Processing ended: Tue Mar 7 10:58:32 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678183112836 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:03 " "Elapsed time: 00:01:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678183112836 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:03:05 " "Total CPU time (on all processors): 00:03:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678183112836 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678183112836 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1678183113960 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678183113960 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 10:58:33 2023 " "Processing started: Tue Mar 7 10:58:33 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678183113960 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678183113960 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678183113960 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678183114488 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678183118856 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "550 " "Peak virtual memory: 550 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678183119073 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 10:58:39 2023 " "Processing ended: Tue Mar 7 10:58:39 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678183119073 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678183119073 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678183119073 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678183119073 ""} -{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1678183119721 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1678183120184 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678183120185 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 10:58:40 2023 " "Processing started: Tue Mar 7 10:58:40 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678183120185 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678183120185 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta bootloarder_1 -c test " "Command: quartus_sta bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678183120185 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678183120212 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678183120628 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1678183120628 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678183120719 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678183120720 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183120766 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183120766 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678183121356 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121357 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_i clk_i " "create_clock -period 1.000 -name clk_i clk_i" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1678183121375 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183121375 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678183121391 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183121392 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678183121392 ""} -{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678183121399 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678183121639 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678183121639 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.523 " "Worst-case setup slack is -6.523" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121640 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121640 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.523 -8742.130 clk_i " " -6.523 -8742.130 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121640 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121640 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.219 " "Worst-case hold slack is 0.219" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121648 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121648 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.219 0.000 clk_i " " 0.219 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121648 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121648 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.363 " "Worst-case recovery slack is -2.363" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121650 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121650 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.363 -1774.021 clk_i " " -2.363 -1774.021 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121650 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121650 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.181 " "Worst-case removal slack is 1.181" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121652 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121652 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.181 0.000 clk_i " " 1.181 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121652 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121652 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121653 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121653 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5129.288 clk_i " " -2.636 -5129.288 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183121653 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183121653 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183121665 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183121665 ""} -{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678183121667 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678183121698 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678183122957 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183123100 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678183123127 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678183123127 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.554 " "Worst-case setup slack is -6.554" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123128 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.554 -8553.572 clk_i " " -6.554 -8553.572 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123128 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183123128 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.184 " "Worst-case hold slack is 0.184" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123137 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123137 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.184 0.000 clk_i " " 0.184 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123137 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183123137 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.123 " "Worst-case recovery slack is -2.123" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123139 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.123 -1571.212 clk_i " " -2.123 -1571.212 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123139 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183123139 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.041 " "Worst-case removal slack is 1.041" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123140 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.041 0.000 clk_i " " 1.041 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123140 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183123140 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123141 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123141 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5028.570 clk_i " " -2.636 -5028.570 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183123141 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183123141 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183123154 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183123154 ""} -{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678183123156 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678183123280 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678183124424 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183124596 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678183124607 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678183124607 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.592 " "Worst-case setup slack is -3.592" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124607 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124607 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.592 -4343.032 clk_i " " -3.592 -4343.032 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124607 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124607 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.155 " "Worst-case hold slack is 0.155" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124617 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124617 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.155 0.000 clk_i " " 0.155 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124617 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124617 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.734 " "Worst-case recovery slack is -1.734" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124620 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124620 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.734 -1328.980 clk_i " " -1.734 -1328.980 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124620 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124620 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.339 " "Worst-case removal slack is 1.339" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124623 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.339 0.000 clk_i " " 1.339 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124623 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124623 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124625 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124625 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -4830.723 clk_i " " -2.636 -4830.723 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124625 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124625 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124644 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183124644 ""} -{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678183124645 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183124857 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678183124869 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678183124869 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.119 " "Worst-case setup slack is -3.119" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124870 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124870 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.119 -3755.601 clk_i " " -3.119 -3755.601 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124870 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124870 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.121 " "Worst-case hold slack is 0.121" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124879 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124879 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.121 0.000 clk_i " " 0.121 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124879 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124879 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.612 " "Worst-case recovery slack is -1.612" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124880 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124880 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.612 -1247.474 clk_i " " -1.612 -1247.474 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124880 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124880 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.343 " "Worst-case removal slack is 1.343" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124882 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.343 0.000 clk_i " " 1.343 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124882 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124882 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124883 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124883 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5020.528 clk_i " " -2.636 -5020.528 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678183124883 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678183124883 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 1 " "Number of Synchronizer Chains Found: 1" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 2 Registers " "Shortest Synchronizer Chain: 2 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 0.202 ns " "Worst Case Available Settling Time: 0.202 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678183124897 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678183124897 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678183125813 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678183125813 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "998 " "Peak virtual memory: 998 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678183125851 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 10:58:45 2023 " "Processing ended: Tue Mar 7 10:58:45 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678183125851 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678183125851 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:14 " "Total CPU time (on all processors): 00:00:14" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678183125851 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678183125851 ""} -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1678183126964 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678183126964 ""} { "Info" "IQEXE_START_BANNER_TIME" "Tue Mar 7 10:58:46 2023 " "Processing started: Tue Mar 7 10:58:46 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678183126964 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678183126964 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678183126964 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678183127531 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "test.vo /home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/ simulation " "Generated file test.vo in folder \"/home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678183127968 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "713 " "Peak virtual memory: 713 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678183128021 ""} { "Info" "IQEXE_END_BANNER_TIME" "Tue Mar 7 10:58:48 2023 " "Processing ended: Tue Mar 7 10:58:48 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678183128021 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678183128021 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678183128021 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678183128021 ""} -{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 93 s " "Quartus Prime Full Compilation was successful. 0 errors, 93 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678183128640 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678285044824 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285044825 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:17:24 2023 " "Processing started: Wed Mar 8 15:17:24 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285044825 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285044825 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test " "Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285044825 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678285045060 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678285045060 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl " "Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051562 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_test_setup_bootloader " "Found entity 1: neorv32_test_setup_bootloader" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051562 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051562 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_imem-neorv32_imem_rtl " "Found design unit 1: neorv32_imem-neorv32_imem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 46 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051563 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051563 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_dmem-neorv32_dmem_rtl " "Found design unit 1: neorv32_dmem-neorv32_dmem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 42 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051564 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051564 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xirq-neorv32_xirq_rtl " "Found design unit 1: neorv32_xirq-neorv32_xirq_rtl" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 72 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051565 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xirq " "Found entity 1: neorv32_xirq" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051565 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051565 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xip-neorv32_xip_rtl " "Found design unit 1: neorv32_xip-neorv32_xip_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051566 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_xip_phy-neorv32_xip_phy_rtl " "Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 501 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051566 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xip " "Found entity 1: neorv32_xip" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 48 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051566 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_xip_phy " "Found entity 2: neorv32_xip_phy" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 476 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051566 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051566 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wishbone-neorv32_wishbone_rtl " "Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 104 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051567 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wishbone " "Found entity 1: neorv32_wishbone" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 55 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051567 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051567 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wdt-neorv32_wdt_rtl " "Found design unit 1: neorv32_wdt-neorv32_wdt_rtl" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051568 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wdt " "Found entity 1: neorv32_wdt" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 50 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051568 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051568 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_uart-neorv32_uart_rtl " "Found design unit 1: neorv32_uart-neorv32_uart_rtl" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051570 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_uart " "Found entity 1: neorv32_uart" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051570 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051570 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_twi-neorv32_twi_rtl " "Found design unit 1: neorv32_twi-neorv32_twi_rtl" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 71 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051571 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_twi " "Found entity 1: neorv32_twi" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051571 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051571 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_trng-neorv32_trng_rtl " "Found design unit 1: neorv32_trng-neorv32_trng_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neoTRNG-neoTRNG_rtl " "Found design unit 2: neoTRNG-neoTRNG_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 310 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neoTRNG_cell-neoTRNG_cell_rtl " "Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 638 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_trng " "Found entity 1: neorv32_trng" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} { "Info" "ISGN_ENTITY_NAME" "2 neoTRNG " "Found entity 2: neoTRNG" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 292 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} { "Info" "ISGN_ENTITY_NAME" "3 neoTRNG_cell " "Found entity 3: neoTRNG_cell" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 622 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051572 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051572 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_top-neorv32_top_rtl " "Found design unit 1: neorv32_top-neorv32_top_rtl" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 239 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051575 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_top " "Found entity 1: neorv32_top" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051575 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051575 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sysinfo-neorv32_sysinfo_rtl " "Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051576 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sysinfo " "Found entity 1: neorv32_sysinfo" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051576 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051576 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_spi-neorv32_spi_rtl " "Found design unit 1: neorv32_spi-neorv32_spi_rtl" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051577 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_spi " "Found entity 1: neorv32_spi" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051577 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051577 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "../neorv32/rtl/core/neorv32_sdi.vhd " "Can't analyze file -- file ../neorv32/rtl/core/neorv32_sdi.vhd is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Analysis & Synthesis" 0 -1 1678285051578 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_pwm-neorv32_pwm_rtl " "Found design unit 1: neorv32_pwm-neorv32_pwm_rtl" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051579 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_pwm " "Found entity 1: neorv32_pwm" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051579 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051579 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd 4 0 " "Found 4 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_package (neorv32) " "Found design unit 1: neorv32_package (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 39 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051583 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_package-body " "Found design unit 2: neorv32_package-body" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2289 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051583 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_bootloader_image (neorv32) " "Found design unit 3: neorv32_bootloader_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2609 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051583 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "4 neorv32_application_image (neorv32) " "Found design unit 4: neorv32_application_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2626 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051583 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051583 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_onewire-neorv32_onewire_rtl " "Found design unit 1: neorv32_onewire-neorv32_onewire_rtl" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051584 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_onewire " "Found entity 1: neorv32_onewire" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051584 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051584 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_neoled-neorv32_neoled_rtl " "Found design unit 1: neorv32_neoled-neorv32_neoled_rtl" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051585 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_neoled " "Found entity 1: neorv32_neoled" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051585 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051585 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_mtime-neorv32_mtime_rtl " "Found design unit 1: neorv32_mtime-neorv32_mtime_rtl" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051586 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_mtime " "Found entity 1: neorv32_mtime" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051586 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051586 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_imem " "Found entity 1: neorv32_imem" { } { { "../neorv32/rtl/core/neorv32_imem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051586 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051586 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_icache-neorv32_icache_rtl " "Found design unit 1: neorv32_icache-neorv32_icache_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_icache_memory-neorv32_icache_memory_rtl " "Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 414 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_icache " "Found entity 1: neorv32_icache" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_icache_memory " "Found entity 2: neorv32_icache_memory" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 385 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051588 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gptmr-neorv32_gptmr_rtl " "Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 66 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gptmr " "Found entity 1: neorv32_gptmr" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051588 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051588 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gpio-neorv32_gpio_rtl " "Found design unit 1: neorv32_gpio-neorv32_gpio_rtl" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051589 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gpio " "Found entity 1: neorv32_gpio" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051589 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051589 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_fifo-neorv32_fifo_rtl " "Found design unit 1: neorv32_fifo-neorv32_fifo_rtl" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051590 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_fifo " "Found entity 1: neorv32_fifo" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051590 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051590 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_dmem " "Found entity 1: neorv32_dmem" { } { { "../neorv32/rtl/core/neorv32_dmem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051590 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051590 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dtm-neorv32_debug_dtm_rtl " "Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051591 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dtm " "Found entity 1: neorv32_debug_dtm" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051591 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051591 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dm-neorv32_debug_dm_rtl " "Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 88 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051593 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dm " "Found entity 1: neorv32_debug_dm" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051593 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051593 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_regfile-neorv32_cpu_regfile_rtl " "Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051594 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_regfile " "Found entity 1: neorv32_cpu_regfile" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 54 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051594 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051594 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl " "Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051595 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_decompressor " "Found entity 1: neorv32_cpu_decompressor" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051595 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051595 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl " "Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 65 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051596 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_shifter " "Found entity 1: neorv32_cpu_cp_shifter" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051596 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051596 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl " "Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051596 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_muldiv " "Found entity 1: neorv32_cpu_cp_muldiv" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051596 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051596 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl " "Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl " "Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1200 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl " "Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1585 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_fpu " "Found entity 1: neorv32_cpu_cp_fpu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_cpu_cp_fpu_normalizer " "Found entity 2: neorv32_cpu_cp_fpu_normalizer" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1178 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} { "Info" "ISGN_ENTITY_NAME" "3 neorv32_cpu_cp_fpu_f2i " "Found entity 3: neorv32_cpu_cp_fpu_f2i" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1565 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051600 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051600 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl " "Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 68 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051601 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_cfu " "Found entity 1: neorv32_cpu_cp_cfu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051601 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051601 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl " "Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051602 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_bitmanip " "Found entity 1: neorv32_cpu_cp_bitmanip" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051602 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051602 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_control-neorv32_cpu_control_rtl " "Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 132 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051607 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_control " "Found entity 1: neorv32_cpu_control" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051607 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051607 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_bus-neorv32_cpu_bus_rtl " "Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 85 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051609 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_bus " "Found entity 1: neorv32_cpu_bus" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051609 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051608 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_alu-neorv32_cpu_cpu_rtl " "Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051609 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_alu " "Found entity 1: neorv32_cpu_alu" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051609 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051609 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu-neorv32_cpu_rtl " "Found design unit 1: neorv32_cpu-neorv32_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 116 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051611 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu " "Found entity 1: neorv32_cpu" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051611 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051611 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cfs-neorv32_cfs_rtl " "Found design unit 1: neorv32_cfs-neorv32_cfs_rtl" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051611 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cfs " "Found entity 1: neorv32_cfs" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051611 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051611 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_busswitch-neorv32_busswitch_rtl " "Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 91 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051612 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_busswitch " "Found entity 1: neorv32_busswitch" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051612 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051612 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bus_keeper-neorv32_bus_keeper_rtl " "Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051613 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_bus_keeper " "Found entity 1: neorv32_bus_keeper" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051613 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051613 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bootloader_image-body " "Found design unit 1: neorv32_bootloader_image-body" { } { { "../neorv32/rtl/core/neorv32_bootloader_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051614 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051614 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_boot_rom-neorv32_boot_rom_rtl " "Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 58 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051614 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_boot_rom " "Found entity 1: neorv32_boot_rom" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051614 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051614 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_application_image-body " "Found design unit 1: neorv32_application_image-body" { } { { "../neorv32/rtl/core/neorv32_application_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285051615 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285051615 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "neorv32_test_setup_bootloader " "Elaborating entity \"neorv32_test_setup_bootloader\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678285051803 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_top neorv32_top:neorv32_top_inst " "Elaborating entity \"neorv32_top\" for hierarchy \"neorv32_top:neorv32_top_inst\"" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "neorv32_top_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285051819 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rstn_ext neorv32_top.vhd(254) " "Verilog HDL or VHDL warning at neorv32_top.vhd(254): object \"rstn_ext\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 254 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285051823 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cpu_s neorv32_top.vhd(282) " "Verilog HDL or VHDL warning at neorv32_top.vhd(282): object \"cpu_s\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 282 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285051823 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_enable neorv32_top.vhd(388) " "Verilog HDL or VHDL warning at neorv32_top.vhd(388): object \"xip_enable\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 388 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285051824 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_page neorv32_top.vhd(389) " "Verilog HDL or VHDL warning at neorv32_top.vhd(389): object \"xip_page\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 389 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285051824 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" neorv32_top.vhd(395) " "VHDL Assertion Statement at neorv32_top.vhd(395): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 395 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051824 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" neorv32_top.vhd(420) " "VHDL Assertion Statement at neorv32_top.vhd(420): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 420 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051824 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst " "Elaborating entity \"neorv32_cpu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_cpu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 542 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285051865 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" neorv32_cpu.vhd(165) " "VHDL Assertion Statement at neorv32_cpu.vhd(165): assertion is false - report \"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 165 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051867 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" neorv32_cpu.vhd(168) " "VHDL Assertion Statement at neorv32_cpu.vhd(168): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 168 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051867 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU NOTE: Assuming this is real hardware.\" neorv32_cpu.vhd(190) " "VHDL Assertion Statement at neorv32_cpu.vhd(190): assertion is false - report \"NEORV32 CPU NOTE: Assuming this is real hardware.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 190 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051867 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" neorv32_cpu.vhd(200) " "VHDL Assertion Statement at neorv32_cpu.vhd(200): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 200 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285051869 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Warning" "WVRFX_VHDL_ASSERT_ALWAYS_OCCURS_WARNING" "\"NEORV32 CPU CONFIG WARNING! Overriding configuration (setting =2) because C ISA extension is enabled.\" neorv32_cpu.vhd(214) " "VHDL Assertion Statement at neorv32_cpu.vhd(214): assertion is false - report \"NEORV32 CPU CONFIG WARNING! Overriding configuration (setting =2) because C ISA extension is enabled.\" (WARNING)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 214 0 0 } } } 0 10651 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (WARNING)" 0 0 "Analysis & Synthesis" 0 -1 1678285051869 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_control neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst " "Elaborating entity \"neorv32_cpu_control\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_control_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 260 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 ""} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(249) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(249): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 249 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(251) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(251): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 251 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(253) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(253): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 253 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(255) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(255): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 255 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(257) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(257): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 257 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051902 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(365) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(365): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 365 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285051903 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_L2_HDL_CONDITION_EXP_IS_CONST" "neorv32_cpu_control.vhd(1143) " "Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1143): conditional expression evaluates to a constant" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1143 0 0 } } } 0 10037 "Verilog HDL or VHDL warning at %1!s!: conditional expression evaluates to a constant" 0 0 "Analysis & Synthesis" 0 -1 1678285051944 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_L2_VHDL_ID_IN_COMB_PROCESS_HOLDS_VALUE" "csr neorv32_cpu_control.vhd(1686) " "VHDL Process Statement warning at neorv32_cpu_control.vhd(1686): inferring latch(es) for signal or variable \"csr\", which holds its previous value in one or more paths through the process" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1686 0 0 } } } 0 10631 "VHDL Process Statement warning at %2!s!: inferring latch(es) for signal or variable \"%1!s!\", which holds its previous value in one or more paths through the process" 0 0 "Analysis & Synthesis" 0 -1 1678285052100 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2074) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2074): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2074 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052103 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2480) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2480): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2480 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052222 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2517) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2517): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2517 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052229 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_IGNORED_ASSIGNMENT_TO_NULL_RANGE" "neorv32_cpu_control.vhd(2550) " "VHDL warning at neorv32_cpu_control.vhd(2550): ignored assignment of value to null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2550 0 0 } } } 0 10296 "VHDL warning at %1!s!: ignored assignment of value to null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052240 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\prefetch_buffer:0:prefetch_buffer_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 494 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052465 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_decompressor neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst " "Elaborating entity \"neorv32_cpu_decompressor\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 591 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052473 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_regfile neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst " "Elaborating entity \"neorv32_cpu_regfile\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_regfile_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 351 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052483 ""} +{ "Info" "IOPT_INFERENCING_SUMMARY" "2 " "Inferred 2 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 5 " "Parameter WIDTHAD_A set to 5" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32 " "Parameter NUMWORDS_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 32 " "Parameter WIDTH_B set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 5 " "Parameter WIDTHAD_B set to 5" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32 " "Parameter NUMWORDS_B set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__2 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__2\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 5 " "Parameter WIDTHAD_A set to 5" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 32 " "Parameter NUMWORDS_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 32 " "Parameter WIDTH_B set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 5 " "Parameter WIDTHAD_B set to 5" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 32 " "Parameter NUMWORDS_B set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285052549 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285052549 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678285052549 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborating entity \"altsyncram\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052593 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052594 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 5 " "Parameter \"WIDTHAD_A\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32 " "Parameter \"NUMWORDS_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 32 " "Parameter \"WIDTH_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 5 " "Parameter \"WIDTHAD_B\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32 " "Parameter \"NUMWORDS_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285052594 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285052594 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u2n1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u2n1 " "Found entity 1: altsyncram_u2n1" { } { { "db/altsyncram_u2n1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_u2n1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285052631 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285052631 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u2n1 neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated " "Elaborating entity \"altsyncram_u2n1\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "/home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052632 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_alu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst " "Elaborating entity \"neorv32_cpu_alu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_alu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052641 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_shifter neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst " "Elaborating entity \"neorv32_cpu_cp_shifter\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "neorv32_cpu_cp_shifter_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 174 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052658 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_muldiv neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst " "Elaborating entity \"neorv32_cpu_cp_muldiv\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 198 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052667 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_bus neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst " "Elaborating entity \"neorv32_cpu_bus\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_bus_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 414 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052698 ""} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(114) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 114 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(115) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 115 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(116) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 116 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(117) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 117 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(118) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 118 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(119) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 119 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(120) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 120 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(121) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 121 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(122) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 122 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052699 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(427) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 427 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052702 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(446) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 446 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052702 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(467) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 467 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052702 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(500) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 500 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285052703 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_busswitch neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst " "Elaborating entity \"neorv32_busswitch\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_busswitch_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 691 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052719 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_bus_keeper neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst " "Elaborating entity \"neorv32_bus_keeper\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_bus_keeper_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 763 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052728 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_imem neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst " "Elaborating entity \"neorv32_imem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_imem_inst_true:neorv32_int_imem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 799 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052735 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" neorv32_imem.default.vhd(89) " "VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 89 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285052846 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (32768 bytes).\" neorv32_imem.default.vhd(96) " "VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (32768 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 96 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285052846 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_dmem neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst " "Elaborating entity \"neorv32_dmem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 828 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052862 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" neorv32_dmem.default.vhd(72) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 72 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285052883 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 16384 bytes).\" neorv32_dmem.default.vhd(75) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 16384 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 75 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285052883 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_boot_rom neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst " "Elaborating entity \"neorv32_boot_rom\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 856 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052893 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" neorv32_boot_rom.vhd(81) " "VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 81 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285052909 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_gpio neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst " "Elaborating entity \"neorv32_gpio\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_gpio_inst_true:neorv32_gpio_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1059 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052951 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_mtime neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst " "Elaborating entity \"neorv32_mtime\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_mtime_inst_true:neorv32_mtime_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1128 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052965 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_uart neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst " "Elaborating entity \"neorv32_uart\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_uart0_inst_true:neorv32_uart0_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1157 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285052982 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "tx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 334 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285053005 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "rx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 510 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285053011 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_sysinfo neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst " "Elaborating entity \"neorv32_sysinfo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_sysinfo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1605 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285053016 ""} +{ "Info" "IOPT_INFERENCING_SUMMARY" "9 " "Inferred 9 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "IINFER_ALTSYNCRAM_ROM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0\"" { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE ROM " "Parameter OPERATION_MODE set to ROM" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 10 " "Parameter WIDTHAD_A set to 10" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 1024 " "Parameter NUMWORDS_A set to 1024" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_A UNREGISTERED " "Parameter OUTDATA_REG_A set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RAM_BLOCK_TYPE AUTO " "Parameter RAM_BLOCK_TYPE set to AUTO" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter INIT_FILE set to bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 276031 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\"" 0 0 "Design Software" 0 -1 1678285057386 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678285057386 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285057408 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 12 " "Parameter \"WIDTHAD_A\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4096 " "Parameter \"NUMWORDS_A\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 12 " "Parameter \"WIDTHAD_B\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4096 " "Parameter \"NUMWORDS_B\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057408 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285057408 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_o6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_o6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_o6q1 " "Found entity 1: altsyncram_o6q1" { } { { "db/altsyncram_o6q1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_o6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285057437 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285057437 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285057472 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 13 " "Parameter \"WIDTHAD_A\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 8192 " "Parameter \"NUMWORDS_A\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 13 " "Parameter \"WIDTHAD_B\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 8192 " "Parameter \"NUMWORDS_B\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057472 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285057472 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_s6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_s6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_s6q1 " "Found entity 1: altsyncram_s6q1" { } { { "db/altsyncram_s6q1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_s6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285057505 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285057505 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285057527 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE ROM " "Parameter \"OPERATION_MODE\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 10 " "Parameter \"WIDTHAD_A\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 1024 " "Parameter \"NUMWORDS_A\" = \"1024\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter \"INIT_FILE\" = \"bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285057527 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285057527 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_bg91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_bg91 " "Found entity 1: altsyncram_bg91" { } { { "db/altsyncram_bg91.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_bg91.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285057559 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285057559 ""} +{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1678285057825 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678285060265 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "43 " "43 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1678285063085 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285063182 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1678285063182 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678285063438 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285063438 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "2931 " "Implemented 2931 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678285063697 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678285063697 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2759 " "Implemented 2759 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678285063697 ""} { "Info" "ICUT_CUT_TM_RAMS" "160 " "Implemented 160 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1678285063697 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678285063697 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 58 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 58 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "605 " "Peak virtual memory: 605 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285063721 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:17:43 2023 " "Processing ended: Wed Mar 8 15:17:43 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285063721 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:19 " "Elapsed time: 00:00:19" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285063721 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:26 " "Total CPU time (on all processors): 00:00:26" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285063721 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285063721 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Analysis & Synthesis" 0 -1 1678285064997 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus Prime " "Running Quartus Prime Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285064998 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:17:44 2023 " "Processing started: Wed Mar 8 15:17:44 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285064998 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1678285064998 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_fit --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1678285064998 ""} +{ "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1678285065031 ""} +{ "Info" "0" "" "Project = bootloarder_1" { } { } 0 0 "Project = bootloarder_1" 0 0 "Fitter" 0 0 1678285065032 ""} +{ "Info" "0" "" "Revision = test" { } { } 0 0 "Revision = test" 0 0 "Fitter" 0 0 1678285065032 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678285065194 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678285065194 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "test 5CSEMA5F31C6 " "Selected device 5CSEMA5F31C6 for design \"test\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678285065231 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678285065291 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678285065291 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678285065729 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678285065871 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1678285065925 ""} +{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1678285076572 ""} +{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk_i~inputCLKENA0 1618 global CLKCTRL_G6 " "clk_i~inputCLKENA0 with 1618 fanout uses global clock CLKCTRL_G6" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1678285076688 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1678285076688 ""} +{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285076688 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678285076717 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678285076721 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678285076730 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678285076738 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678285076738 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678285076742 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678285077615 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678285077615 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678285077672 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1678285077673 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678285077674 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678285077917 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678285077922 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678285077922 ""} +{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:13 " "Fitter preparation operations ending: elapsed time is 00:00:13" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285078049 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678285082598 ""} +{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1678285083121 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:16 " "Fitter placement preparation operations ending: elapsed time is 00:00:16" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285098798 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678285114675 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678285119606 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:05 " "Fitter placement operations ending: elapsed time is 00:00:05" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285119606 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678285120983 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "1 " "Router estimated average interconnect usage is 1% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "20 X56_Y0 X66_Y10 " "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X56_Y0 to location X66_Y10" { } { { "loc" "" { Generic "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/" { { 1 { 0 "Router estimated peak interconnect usage is 20% of the available device resources in the region that extends from location X56_Y0 to location X66_Y10"} { { 12 { 0 ""} 56 0 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678285127281 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678285127281 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678285134602 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678285134602 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:10 " "Fitter routing operations ending: elapsed time is 00:00:10" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285134606 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 4.14 " "Total time spent on timing analysis during the Fitter is 4.14 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678285138117 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678285138205 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678285139335 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678285139338 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678285140390 ""} +{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:06 " "Fitter post-fit operations ending: elapsed time is 00:00:06" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285144843 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678285145194 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "2075 " "Peak virtual memory: 2075 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285146646 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:19:06 2023 " "Processing ended: Wed Mar 8 15:19:06 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285146646 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:22 " "Elapsed time: 00:01:22" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285146646 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:02:48 " "Total CPU time (on all processors): 00:02:48" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285146646 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678285146646 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1678285148356 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285148356 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:19:08 2023 " "Processing started: Wed Mar 8 15:19:08 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285148356 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678285148356 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678285148357 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678285149003 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678285154252 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "542 " "Peak virtual memory: 542 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285154499 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:19:14 2023 " "Processing ended: Wed Mar 8 15:19:14 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285154499 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285154499 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285154499 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678285154499 ""} +{ "Info" "IFLOW_DISABLED_MODULE" "Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1678285155298 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1678285155922 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285155922 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:19:15 2023 " "Processing started: Wed Mar 8 15:19:15 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285155922 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285155922 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta bootloarder_1 -c test " "Command: quartus_sta bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285155922 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678285155959 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285156474 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1678285156474 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678285156586 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678285156586 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285156647 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285156647 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678285157379 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157380 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_i clk_i " "create_clock -period 1.000 -name clk_i clk_i" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1678285157405 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285157405 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285157425 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285157426 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678285157427 ""} +{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678285157434 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285157803 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285157803 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.905 " "Worst-case setup slack is -6.905" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157804 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157804 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.905 -14064.684 clk_i " " -6.905 -14064.684 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157804 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157804 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.270 " "Worst-case hold slack is 0.270" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157820 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157820 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.270 0.000 clk_i " " 0.270 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157820 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157820 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.241 " "Worst-case recovery slack is -2.241" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157823 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157823 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.241 -1851.541 clk_i " " -2.241 -1851.541 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157823 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157823 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.111 " "Worst-case removal slack is 1.111" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157825 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157825 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.111 0.000 clk_i " " 1.111 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157825 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157825 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157828 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157828 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -9157.863 clk_i " " -2.636 -9157.863 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285157828 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285157828 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 2 synchronizer chains. " "Report Metastability: Found 2 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285157864 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285157864 ""} +{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678285157866 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678285157908 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678285159753 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285160003 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285160061 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285160061 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.710 " "Worst-case setup slack is -6.710" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160062 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.710 -13691.469 clk_i " " -6.710 -13691.469 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160062 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285160062 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.286 " "Worst-case hold slack is 0.286" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160081 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160081 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.286 0.000 clk_i " " 0.286 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160081 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285160081 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.015 " "Worst-case recovery slack is -2.015" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160085 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160085 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.015 -1634.552 clk_i " " -2.015 -1634.552 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160085 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285160085 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 0.994 " "Worst-case removal slack is 0.994" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160087 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160087 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.994 0.000 clk_i " " 0.994 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160087 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285160087 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160090 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160090 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -9044.132 clk_i " " -2.636 -9044.132 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285160090 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285160090 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 2 synchronizer chains. " "Report Metastability: Found 2 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285160113 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285160113 ""} +{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678285160115 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678285160279 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678285161979 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285162206 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285162224 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285162224 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.829 " "Worst-case setup slack is -3.829" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162225 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.829 -7231.896 clk_i " " -3.829 -7231.896 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162225 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162225 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.135 " "Worst-case hold slack is 0.135" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162241 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162241 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.135 0.000 clk_i " " 0.135 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162241 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162241 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.673 " "Worst-case recovery slack is -1.673" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162244 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162244 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.673 -1394.550 clk_i " " -1.673 -1394.550 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162244 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162244 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.247 " "Worst-case removal slack is 1.247" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162248 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.247 0.000 clk_i " " 1.247 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162248 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162248 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162252 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162252 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -8885.840 clk_i " " -2.636 -8885.840 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162252 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162252 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 2 synchronizer chains. " "Report Metastability: Found 2 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285162276 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285162276 ""} +{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678285162278 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285162543 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285162561 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285162561 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.351 " "Worst-case setup slack is -3.351" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162561 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162561 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.351 -6208.481 clk_i " " -3.351 -6208.481 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162561 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162561 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.124 " "Worst-case hold slack is 0.124" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162590 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162590 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.124 0.000 clk_i " " 0.124 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162590 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162590 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.536 " "Worst-case recovery slack is -1.536" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162593 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162593 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.536 -1307.131 clk_i " " -1.536 -1307.131 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162593 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162593 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.281 " "Worst-case removal slack is 1.281" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162596 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162596 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.281 0.000 clk_i " " 1.281 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162596 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162596 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162599 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162599 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -9140.645 clk_i " " -2.636 -9140.645 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285162599 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285162599 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 2 synchronizer chains. " "Report Metastability: Found 2 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285162622 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285162622 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678285163747 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678285163747 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "960 " "Peak virtual memory: 960 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285163794 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:19:23 2023 " "Processing ended: Wed Mar 8 15:19:23 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285163794 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285163794 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285163794 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678285163794 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Timing Analyzer" 0 -1 1678285165237 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285165238 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:19:25 2023 " "Processing started: Wed Mar 8 15:19:25 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285165238 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285165238 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285165238 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678285165954 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "test.vo /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/ simulation " "Generated file test.vo in folder \"/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678285166553 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "726 " "Peak virtual memory: 726 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285166617 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:19:26 2023 " "Processing ended: Wed Mar 8 15:19:26 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285166617 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285166617 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285166617 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285166617 ""} +{ "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 95 s " "Quartus Prime Full Compilation was successful. 0 errors, 95 warnings" { } { } 0 293000 "Quartus Prime %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285167324 ""} diff --git a/proj_quartus/db/test.(0).cnf.cdb b/proj_quartus/db/test.(0).cnf.cdb index e10724323..858d71867 100644 Binary files a/proj_quartus/db/test.(0).cnf.cdb and b/proj_quartus/db/test.(0).cnf.cdb differ diff --git a/proj_quartus/db/test.(0).cnf.hdb b/proj_quartus/db/test.(0).cnf.hdb index 6338d3d78..ce1e7c01c 100644 Binary files a/proj_quartus/db/test.(0).cnf.hdb and b/proj_quartus/db/test.(0).cnf.hdb differ diff --git a/proj_quartus/db/test.(1).cnf.cdb b/proj_quartus/db/test.(1).cnf.cdb index df3cc81c7..1f6afd65f 100644 Binary files a/proj_quartus/db/test.(1).cnf.cdb and b/proj_quartus/db/test.(1).cnf.cdb differ diff --git a/proj_quartus/db/test.(1).cnf.hdb b/proj_quartus/db/test.(1).cnf.hdb index 9e860fcf9..15794c0ef 100644 Binary files a/proj_quartus/db/test.(1).cnf.hdb and b/proj_quartus/db/test.(1).cnf.hdb differ diff --git a/proj_quartus/db/test.(10).cnf.cdb b/proj_quartus/db/test.(10).cnf.cdb index 652e611f4..8ddff939c 100644 Binary files a/proj_quartus/db/test.(10).cnf.cdb and b/proj_quartus/db/test.(10).cnf.cdb differ diff --git a/proj_quartus/db/test.(10).cnf.hdb b/proj_quartus/db/test.(10).cnf.hdb index 418b04e4b..d3e41ef21 100644 Binary files a/proj_quartus/db/test.(10).cnf.hdb and b/proj_quartus/db/test.(10).cnf.hdb differ diff --git a/proj_quartus/db/test.(11).cnf.cdb b/proj_quartus/db/test.(11).cnf.cdb index ba600c33c..65d7f3441 100644 Binary files a/proj_quartus/db/test.(11).cnf.cdb and b/proj_quartus/db/test.(11).cnf.cdb differ diff --git a/proj_quartus/db/test.(11).cnf.hdb b/proj_quartus/db/test.(11).cnf.hdb index 028bc82b7..80621a357 100644 Binary files a/proj_quartus/db/test.(11).cnf.hdb and b/proj_quartus/db/test.(11).cnf.hdb differ diff --git a/proj_quartus/db/test.(12).cnf.cdb b/proj_quartus/db/test.(12).cnf.cdb index 3a4205dce..b72695b39 100644 Binary files a/proj_quartus/db/test.(12).cnf.cdb and b/proj_quartus/db/test.(12).cnf.cdb differ diff --git a/proj_quartus/db/test.(12).cnf.hdb b/proj_quartus/db/test.(12).cnf.hdb index 9bbc1d7f7..bc50984b3 100644 Binary files a/proj_quartus/db/test.(12).cnf.hdb and b/proj_quartus/db/test.(12).cnf.hdb differ diff --git a/proj_quartus/db/test.(13).cnf.cdb b/proj_quartus/db/test.(13).cnf.cdb index ede5b4e02..3a8be9920 100644 Binary files a/proj_quartus/db/test.(13).cnf.cdb and b/proj_quartus/db/test.(13).cnf.cdb differ diff --git a/proj_quartus/db/test.(13).cnf.hdb b/proj_quartus/db/test.(13).cnf.hdb index a9a2bbbcc..9fb8c60f6 100644 Binary files a/proj_quartus/db/test.(13).cnf.hdb and b/proj_quartus/db/test.(13).cnf.hdb differ diff --git a/proj_quartus/db/test.(14).cnf.cdb b/proj_quartus/db/test.(14).cnf.cdb index 02a058ed4..8ce05c7a9 100644 Binary files a/proj_quartus/db/test.(14).cnf.cdb and b/proj_quartus/db/test.(14).cnf.cdb differ diff --git a/proj_quartus/db/test.(14).cnf.hdb b/proj_quartus/db/test.(14).cnf.hdb index 64dcf3167..dd29fd9a4 100644 Binary files a/proj_quartus/db/test.(14).cnf.hdb and b/proj_quartus/db/test.(14).cnf.hdb differ diff --git a/proj_quartus/db/test.(15).cnf.cdb b/proj_quartus/db/test.(15).cnf.cdb index de4dc3da4..233ef45a8 100644 Binary files a/proj_quartus/db/test.(15).cnf.cdb and b/proj_quartus/db/test.(15).cnf.cdb differ diff --git a/proj_quartus/db/test.(15).cnf.hdb b/proj_quartus/db/test.(15).cnf.hdb index 8902b45fd..8963e4230 100644 Binary files a/proj_quartus/db/test.(15).cnf.hdb and b/proj_quartus/db/test.(15).cnf.hdb differ diff --git a/proj_quartus/db/test.(16).cnf.cdb b/proj_quartus/db/test.(16).cnf.cdb index 97ff36d64..955dffbb8 100644 Binary files a/proj_quartus/db/test.(16).cnf.cdb and b/proj_quartus/db/test.(16).cnf.cdb differ diff --git a/proj_quartus/db/test.(16).cnf.hdb b/proj_quartus/db/test.(16).cnf.hdb index 212610044..e80b920f6 100644 Binary files a/proj_quartus/db/test.(16).cnf.hdb and b/proj_quartus/db/test.(16).cnf.hdb differ diff --git a/proj_quartus/db/test.(17).cnf.cdb b/proj_quartus/db/test.(17).cnf.cdb index d9c5dbbf9..858783ed9 100644 Binary files a/proj_quartus/db/test.(17).cnf.cdb and b/proj_quartus/db/test.(17).cnf.cdb differ diff --git a/proj_quartus/db/test.(17).cnf.hdb b/proj_quartus/db/test.(17).cnf.hdb index af115a878..38a0a72e7 100644 Binary files a/proj_quartus/db/test.(17).cnf.hdb and b/proj_quartus/db/test.(17).cnf.hdb differ diff --git a/proj_quartus/db/test.(18).cnf.cdb b/proj_quartus/db/test.(18).cnf.cdb index 44c324f27..e9413d66f 100644 Binary files a/proj_quartus/db/test.(18).cnf.cdb and b/proj_quartus/db/test.(18).cnf.cdb differ diff --git a/proj_quartus/db/test.(18).cnf.hdb b/proj_quartus/db/test.(18).cnf.hdb index ca4251183..d12746b9b 100644 Binary files a/proj_quartus/db/test.(18).cnf.hdb and b/proj_quartus/db/test.(18).cnf.hdb differ diff --git a/proj_quartus/db/test.(19).cnf.cdb b/proj_quartus/db/test.(19).cnf.cdb index 6113f65f0..30b8c1dd7 100644 Binary files a/proj_quartus/db/test.(19).cnf.cdb and b/proj_quartus/db/test.(19).cnf.cdb differ diff --git a/proj_quartus/db/test.(19).cnf.hdb b/proj_quartus/db/test.(19).cnf.hdb index 257863fa7..b89e9b499 100644 Binary files a/proj_quartus/db/test.(19).cnf.hdb and b/proj_quartus/db/test.(19).cnf.hdb differ diff --git a/proj_quartus/db/test.(2).cnf.cdb b/proj_quartus/db/test.(2).cnf.cdb index 51ad1cca3..cd3e58f27 100644 Binary files a/proj_quartus/db/test.(2).cnf.cdb and b/proj_quartus/db/test.(2).cnf.cdb differ diff --git a/proj_quartus/db/test.(2).cnf.hdb b/proj_quartus/db/test.(2).cnf.hdb index fc699f373..bab5c0a8b 100644 Binary files a/proj_quartus/db/test.(2).cnf.hdb and b/proj_quartus/db/test.(2).cnf.hdb differ diff --git a/proj_quartus/db/test.(20).cnf.cdb b/proj_quartus/db/test.(20).cnf.cdb index d2c2535a9..08352d6cd 100644 Binary files a/proj_quartus/db/test.(20).cnf.cdb and b/proj_quartus/db/test.(20).cnf.cdb differ diff --git a/proj_quartus/db/test.(20).cnf.hdb b/proj_quartus/db/test.(20).cnf.hdb index 63eac1d1f..f45bceb7a 100644 Binary files a/proj_quartus/db/test.(20).cnf.hdb and b/proj_quartus/db/test.(20).cnf.hdb differ diff --git a/proj_quartus/db/test.(21).cnf.cdb b/proj_quartus/db/test.(21).cnf.cdb index fdaf3f2f6..163430b08 100644 Binary files a/proj_quartus/db/test.(21).cnf.cdb and b/proj_quartus/db/test.(21).cnf.cdb differ diff --git a/proj_quartus/db/test.(21).cnf.hdb b/proj_quartus/db/test.(21).cnf.hdb index 687b52155..022855fdc 100644 Binary files a/proj_quartus/db/test.(21).cnf.hdb and b/proj_quartus/db/test.(21).cnf.hdb differ diff --git a/proj_quartus/db/test.(22).cnf.cdb b/proj_quartus/db/test.(22).cnf.cdb index 9b8120161..7d30a9ff9 100644 Binary files a/proj_quartus/db/test.(22).cnf.cdb and b/proj_quartus/db/test.(22).cnf.cdb differ diff --git a/proj_quartus/db/test.(22).cnf.hdb b/proj_quartus/db/test.(22).cnf.hdb index bead764c5..0ec058fe3 100644 Binary files a/proj_quartus/db/test.(22).cnf.hdb and b/proj_quartus/db/test.(22).cnf.hdb differ diff --git a/proj_quartus/db/test.(23).cnf.cdb b/proj_quartus/db/test.(23).cnf.cdb index 1f54e86d7..a835546f1 100644 Binary files a/proj_quartus/db/test.(23).cnf.cdb and b/proj_quartus/db/test.(23).cnf.cdb differ diff --git a/proj_quartus/db/test.(23).cnf.hdb b/proj_quartus/db/test.(23).cnf.hdb index 4122420ff..1d33a5949 100644 Binary files a/proj_quartus/db/test.(23).cnf.hdb and b/proj_quartus/db/test.(23).cnf.hdb differ diff --git a/proj_quartus/db/test.(24).cnf.cdb b/proj_quartus/db/test.(24).cnf.cdb index d4020bbe3..747a89430 100644 Binary files a/proj_quartus/db/test.(24).cnf.cdb and b/proj_quartus/db/test.(24).cnf.cdb differ diff --git a/proj_quartus/db/test.(24).cnf.hdb b/proj_quartus/db/test.(24).cnf.hdb index c2fcb8f31..ddbd86b9c 100644 Binary files a/proj_quartus/db/test.(24).cnf.hdb and b/proj_quartus/db/test.(24).cnf.hdb differ diff --git a/proj_quartus/db/test.(25).cnf.cdb b/proj_quartus/db/test.(25).cnf.cdb index 84a5ec35b..0fa917b56 100644 Binary files a/proj_quartus/db/test.(25).cnf.cdb and b/proj_quartus/db/test.(25).cnf.cdb differ diff --git a/proj_quartus/db/test.(25).cnf.hdb b/proj_quartus/db/test.(25).cnf.hdb index 33955a7fa..35e28ad55 100644 Binary files a/proj_quartus/db/test.(25).cnf.hdb and b/proj_quartus/db/test.(25).cnf.hdb differ diff --git a/proj_quartus/db/test.(26).cnf.cdb b/proj_quartus/db/test.(26).cnf.cdb index 4e18e3d35..a620b6055 100644 Binary files a/proj_quartus/db/test.(26).cnf.cdb and b/proj_quartus/db/test.(26).cnf.cdb differ diff --git a/proj_quartus/db/test.(26).cnf.hdb b/proj_quartus/db/test.(26).cnf.hdb index 7f6a24702..3e4164652 100644 Binary files a/proj_quartus/db/test.(26).cnf.hdb and b/proj_quartus/db/test.(26).cnf.hdb differ diff --git a/proj_quartus/db/test.(27).cnf.cdb b/proj_quartus/db/test.(27).cnf.cdb index d82b2ad8c..70f37c4d5 100644 Binary files a/proj_quartus/db/test.(27).cnf.cdb and b/proj_quartus/db/test.(27).cnf.cdb differ diff --git a/proj_quartus/db/test.(27).cnf.hdb b/proj_quartus/db/test.(27).cnf.hdb index ee4599d04..d6eb7abaf 100644 Binary files a/proj_quartus/db/test.(27).cnf.hdb and b/proj_quartus/db/test.(27).cnf.hdb differ diff --git a/proj_quartus/db/test.(28).cnf.cdb b/proj_quartus/db/test.(28).cnf.cdb index 16be6c39a..e3e866188 100644 Binary files a/proj_quartus/db/test.(28).cnf.cdb and b/proj_quartus/db/test.(28).cnf.cdb differ diff --git a/proj_quartus/db/test.(28).cnf.hdb b/proj_quartus/db/test.(28).cnf.hdb index 4a1095e71..7eb6edbe9 100644 Binary files a/proj_quartus/db/test.(28).cnf.hdb and b/proj_quartus/db/test.(28).cnf.hdb differ diff --git a/proj_quartus/db/test.(29).cnf.cdb b/proj_quartus/db/test.(29).cnf.cdb index f41462234..aaa86049b 100644 Binary files a/proj_quartus/db/test.(29).cnf.cdb and b/proj_quartus/db/test.(29).cnf.cdb differ diff --git a/proj_quartus/db/test.(29).cnf.hdb b/proj_quartus/db/test.(29).cnf.hdb index f38e912e2..00a5c0b99 100644 Binary files a/proj_quartus/db/test.(29).cnf.hdb and b/proj_quartus/db/test.(29).cnf.hdb differ diff --git a/proj_quartus/db/test.(3).cnf.cdb b/proj_quartus/db/test.(3).cnf.cdb index a222b8e03..91a1baf17 100644 Binary files a/proj_quartus/db/test.(3).cnf.cdb and b/proj_quartus/db/test.(3).cnf.cdb differ diff --git a/proj_quartus/db/test.(3).cnf.hdb b/proj_quartus/db/test.(3).cnf.hdb index b8f53fe07..5d1488be0 100644 Binary files a/proj_quartus/db/test.(3).cnf.hdb and b/proj_quartus/db/test.(3).cnf.hdb differ diff --git a/proj_quartus/db/test.(30).cnf.cdb b/proj_quartus/db/test.(30).cnf.cdb index 8e0e009eb..20e38f86c 100644 Binary files a/proj_quartus/db/test.(30).cnf.cdb and b/proj_quartus/db/test.(30).cnf.cdb differ diff --git a/proj_quartus/db/test.(30).cnf.hdb b/proj_quartus/db/test.(30).cnf.hdb index bac5f0b93..238d247b3 100644 Binary files a/proj_quartus/db/test.(30).cnf.hdb and b/proj_quartus/db/test.(30).cnf.hdb differ diff --git a/proj_quartus/db/test.(31).cnf.cdb b/proj_quartus/db/test.(31).cnf.cdb index 6ed909784..a8fbff6b7 100644 Binary files a/proj_quartus/db/test.(31).cnf.cdb and b/proj_quartus/db/test.(31).cnf.cdb differ diff --git a/proj_quartus/db/test.(31).cnf.hdb b/proj_quartus/db/test.(31).cnf.hdb index 86a94d16a..d381495f6 100644 Binary files a/proj_quartus/db/test.(31).cnf.hdb and b/proj_quartus/db/test.(31).cnf.hdb differ diff --git a/proj_quartus/db/test.(32).cnf.cdb b/proj_quartus/db/test.(32).cnf.cdb index 501f8f2c8..3ee06fbf3 100644 Binary files a/proj_quartus/db/test.(32).cnf.cdb and b/proj_quartus/db/test.(32).cnf.cdb differ diff --git a/proj_quartus/db/test.(32).cnf.hdb b/proj_quartus/db/test.(32).cnf.hdb index 7e1334f25..f4acb5979 100644 Binary files a/proj_quartus/db/test.(32).cnf.hdb and b/proj_quartus/db/test.(32).cnf.hdb differ diff --git a/proj_quartus/db/test.(33).cnf.cdb b/proj_quartus/db/test.(33).cnf.cdb index acfc02345..670261043 100644 Binary files a/proj_quartus/db/test.(33).cnf.cdb and b/proj_quartus/db/test.(33).cnf.cdb differ diff --git a/proj_quartus/db/test.(33).cnf.hdb b/proj_quartus/db/test.(33).cnf.hdb index ab97a74ce..4bab527fa 100644 Binary files a/proj_quartus/db/test.(33).cnf.hdb and b/proj_quartus/db/test.(33).cnf.hdb differ diff --git a/proj_quartus/db/test.(34).cnf.cdb b/proj_quartus/db/test.(34).cnf.cdb index 49f67c899..1beb86ea1 100644 Binary files a/proj_quartus/db/test.(34).cnf.cdb and b/proj_quartus/db/test.(34).cnf.cdb differ diff --git a/proj_quartus/db/test.(34).cnf.hdb b/proj_quartus/db/test.(34).cnf.hdb index a31fa38a5..6bc3469da 100644 Binary files a/proj_quartus/db/test.(34).cnf.hdb and b/proj_quartus/db/test.(34).cnf.hdb differ diff --git a/proj_quartus/db/test.(35).cnf.cdb b/proj_quartus/db/test.(35).cnf.cdb deleted file mode 100644 index ae46a9c52..000000000 Binary files a/proj_quartus/db/test.(35).cnf.cdb and /dev/null differ diff --git a/proj_quartus/db/test.(35).cnf.hdb b/proj_quartus/db/test.(35).cnf.hdb deleted file mode 100644 index 2ccfb3e58..000000000 Binary files a/proj_quartus/db/test.(35).cnf.hdb and /dev/null differ diff --git a/proj_quartus/db/test.(4).cnf.cdb b/proj_quartus/db/test.(4).cnf.cdb index de8510aa1..d3b5db478 100644 Binary files a/proj_quartus/db/test.(4).cnf.cdb and b/proj_quartus/db/test.(4).cnf.cdb differ diff --git a/proj_quartus/db/test.(4).cnf.hdb b/proj_quartus/db/test.(4).cnf.hdb index 2861f5c21..1cd9e1add 100644 Binary files a/proj_quartus/db/test.(4).cnf.hdb and b/proj_quartus/db/test.(4).cnf.hdb differ diff --git a/proj_quartus/db/test.(5).cnf.cdb b/proj_quartus/db/test.(5).cnf.cdb index 5641da913..c5f83f8d5 100644 Binary files a/proj_quartus/db/test.(5).cnf.cdb and b/proj_quartus/db/test.(5).cnf.cdb differ diff --git a/proj_quartus/db/test.(5).cnf.hdb b/proj_quartus/db/test.(5).cnf.hdb index 86c0ae051..07c310e9a 100644 Binary files a/proj_quartus/db/test.(5).cnf.hdb and b/proj_quartus/db/test.(5).cnf.hdb differ diff --git a/proj_quartus/db/test.(6).cnf.cdb b/proj_quartus/db/test.(6).cnf.cdb index 9acfc99bf..d9ae15ee2 100644 Binary files a/proj_quartus/db/test.(6).cnf.cdb and b/proj_quartus/db/test.(6).cnf.cdb differ diff --git a/proj_quartus/db/test.(6).cnf.hdb b/proj_quartus/db/test.(6).cnf.hdb index a1a9d9645..925be9ff7 100644 Binary files a/proj_quartus/db/test.(6).cnf.hdb and b/proj_quartus/db/test.(6).cnf.hdb differ diff --git a/proj_quartus/db/test.(7).cnf.cdb b/proj_quartus/db/test.(7).cnf.cdb index 7c38e63ef..f6519899a 100644 Binary files a/proj_quartus/db/test.(7).cnf.cdb and b/proj_quartus/db/test.(7).cnf.cdb differ diff --git a/proj_quartus/db/test.(7).cnf.hdb b/proj_quartus/db/test.(7).cnf.hdb index a3d443c3b..2845e9bec 100644 Binary files a/proj_quartus/db/test.(7).cnf.hdb and b/proj_quartus/db/test.(7).cnf.hdb differ diff --git a/proj_quartus/db/test.(8).cnf.cdb b/proj_quartus/db/test.(8).cnf.cdb index f79ed59c6..d45002716 100644 Binary files a/proj_quartus/db/test.(8).cnf.cdb and b/proj_quartus/db/test.(8).cnf.cdb differ diff --git a/proj_quartus/db/test.(8).cnf.hdb b/proj_quartus/db/test.(8).cnf.hdb index 88e2e1e41..b30729944 100644 Binary files a/proj_quartus/db/test.(8).cnf.hdb and b/proj_quartus/db/test.(8).cnf.hdb differ diff --git a/proj_quartus/db/test.(9).cnf.cdb b/proj_quartus/db/test.(9).cnf.cdb index e8555957d..039f6ac0a 100644 Binary files a/proj_quartus/db/test.(9).cnf.cdb and b/proj_quartus/db/test.(9).cnf.cdb differ diff --git a/proj_quartus/db/test.(9).cnf.hdb b/proj_quartus/db/test.(9).cnf.hdb index a91a65672..f99a89d99 100644 Binary files a/proj_quartus/db/test.(9).cnf.hdb and b/proj_quartus/db/test.(9).cnf.hdb differ diff --git a/proj_quartus/db/test.asm.qmsg b/proj_quartus/db/test.asm.qmsg index 5c9d76d71..1f5ed3783 100644 --- a/proj_quartus/db/test.asm.qmsg +++ b/proj_quartus/db/test.asm.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678267862173 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678267862173 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 10:31:02 2023 " "Processing started: Wed Mar 8 10:31:02 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678267862173 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678267862173 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678267862173 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678267862688 ""} -{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678267867494 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "543 " "Peak virtual memory: 543 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678267867877 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 10:31:07 2023 " "Processing ended: Wed Mar 8 10:31:07 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678267867877 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:05 " "Elapsed time: 00:00:05" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678267867877 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:05 " "Total CPU time (on all processors): 00:00:05" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678267867877 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678267867877 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678285658625 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus Prime " "Running Quartus Prime Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285658625 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:27:38 2023 " "Processing started: Wed Mar 8 15:27:38 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285658625 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1678285658625 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1678285658625 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Assembler" 0 -1 1678285659231 ""} +{ "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1678285664207 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 1 Quartus Prime " "Quartus Prime Assembler was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "559 " "Peak virtual memory: 559 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285664411 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:27:44 2023 " "Processing ended: Wed Mar 8 15:27:44 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285664411 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285664411 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:06 " "Total CPU time (on all processors): 00:00:06" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285664411 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1678285664411 ""} diff --git a/proj_quartus/db/test.asm.rdb b/proj_quartus/db/test.asm.rdb index 0da26fd89..fee9866c2 100644 Binary files a/proj_quartus/db/test.asm.rdb and b/proj_quartus/db/test.asm.rdb differ diff --git a/proj_quartus/db/test.cmp.bpm b/proj_quartus/db/test.cmp.bpm index 24fc75744..de395c92a 100644 Binary files a/proj_quartus/db/test.cmp.bpm and b/proj_quartus/db/test.cmp.bpm differ diff --git a/proj_quartus/db/test.cmp.cdb b/proj_quartus/db/test.cmp.cdb index d96bd0a57..721199d68 100644 Binary files a/proj_quartus/db/test.cmp.cdb and b/proj_quartus/db/test.cmp.cdb differ diff --git a/proj_quartus/db/test.cmp.hdb b/proj_quartus/db/test.cmp.hdb index f80bb51bd..44045db72 100644 Binary files a/proj_quartus/db/test.cmp.hdb and b/proj_quartus/db/test.cmp.hdb differ diff --git a/proj_quartus/db/test.cmp.idb b/proj_quartus/db/test.cmp.idb index 766480bb1..af427a597 100644 Binary files a/proj_quartus/db/test.cmp.idb and b/proj_quartus/db/test.cmp.idb differ diff --git a/proj_quartus/db/test.cmp.rdb b/proj_quartus/db/test.cmp.rdb index 8f2fd56cd..8d489d490 100644 Binary files a/proj_quartus/db/test.cmp.rdb and b/proj_quartus/db/test.cmp.rdb differ diff --git a/proj_quartus/db/test.cmp_merge.kpt b/proj_quartus/db/test.cmp_merge.kpt index 968b4ad70..b089aef1a 100644 Binary files a/proj_quartus/db/test.cmp_merge.kpt and b/proj_quartus/db/test.cmp_merge.kpt differ diff --git a/proj_quartus/db/test.cyclonev_io_sim_cache.ff_0c_fast.hsd b/proj_quartus/db/test.cyclonev_io_sim_cache.ff_0c_fast.hsd index 57a066dd1..89911ec96 100644 Binary files a/proj_quartus/db/test.cyclonev_io_sim_cache.ff_0c_fast.hsd and b/proj_quartus/db/test.cyclonev_io_sim_cache.ff_0c_fast.hsd differ diff --git a/proj_quartus/db/test.cyclonev_io_sim_cache.ff_85c_fast.hsd b/proj_quartus/db/test.cyclonev_io_sim_cache.ff_85c_fast.hsd index 0a9d2d802..01e102dfa 100644 Binary files a/proj_quartus/db/test.cyclonev_io_sim_cache.ff_85c_fast.hsd and b/proj_quartus/db/test.cyclonev_io_sim_cache.ff_85c_fast.hsd differ diff --git a/proj_quartus/db/test.cyclonev_io_sim_cache.tt_0c_slow.hsd b/proj_quartus/db/test.cyclonev_io_sim_cache.tt_0c_slow.hsd index 55c3f48a0..82cbb1529 100644 Binary files a/proj_quartus/db/test.cyclonev_io_sim_cache.tt_0c_slow.hsd and b/proj_quartus/db/test.cyclonev_io_sim_cache.tt_0c_slow.hsd differ diff --git a/proj_quartus/db/test.cyclonev_io_sim_cache.tt_85c_slow.hsd b/proj_quartus/db/test.cyclonev_io_sim_cache.tt_85c_slow.hsd index 7f97870fc..9071312e9 100644 Binary files a/proj_quartus/db/test.cyclonev_io_sim_cache.tt_85c_slow.hsd and b/proj_quartus/db/test.cyclonev_io_sim_cache.tt_85c_slow.hsd differ diff --git a/proj_quartus/db/test.db_info b/proj_quartus/db/test.db_info index da72950b6..86a7002ea 100644 --- a/proj_quartus/db/test.db_info +++ b/proj_quartus/db/test.db_info @@ -1,3 +1,3 @@ -Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition Version_Index = 553882368 -Creation_Time = Wed Mar 8 10:26:04 2023 +Creation_Time = Wed Mar 8 14:51:20 2023 diff --git a/proj_quartus/db/test.eda.qmsg b/proj_quartus/db/test.eda.qmsg index 45b1b9afe..4a47cc083 100644 --- a/proj_quartus/db/test.eda.qmsg +++ b/proj_quartus/db/test.eda.qmsg @@ -1,6 +1,6 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678267876208 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678267876208 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 10:31:16 2023 " "Processing started: Wed Mar 8 10:31:16 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678267876208 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678267876208 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678267876208 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678267876749 ""} -{ "Info" "IWSC_DONE_HDL_GENERATION" "test.vo /home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/ simulation " "Generated file test.vo in folder \"/home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678267877239 ""} -{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "713 " "Peak virtual memory: 713 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678267877287 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 10:31:17 2023 " "Processing ended: Wed Mar 8 10:31:17 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678267877287 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678267877287 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678267877287 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678267877287 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678285674725 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "EDA Netlist Writer Quartus Prime " "Running Quartus Prime EDA Netlist Writer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285674726 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:27:54 2023 " "Processing started: Wed Mar 8 15:27:54 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285674726 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285674726 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test " "Command: quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285674726 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "EDA Netlist Writer" 0 -1 1678285675462 ""} +{ "Info" "IWSC_DONE_HDL_GENERATION" "test.vo /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/ simulation " "Generated file test.vo in folder \"/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/\" for EDA simulation tool" { } { } 0 204019 "Generated file %1!s! in folder \"%2!s!\" for EDA %3!s! tool" 0 0 "EDA Netlist Writer" 0 -1 1678285676004 ""} +{ "Info" "IQEXE_ERROR_COUNT" "EDA Netlist Writer 0 s 1 Quartus Prime " "Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "729 " "Peak virtual memory: 729 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285676074 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:27:56 2023 " "Processing ended: Wed Mar 8 15:27:56 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285676074 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285676074 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285676074 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "EDA Netlist Writer" 0 -1 1678285676074 ""} diff --git a/proj_quartus/db/test.fit.qmsg b/proj_quartus/db/test.fit.qmsg index 5225f5446..f6ca91431 100644 --- a/proj_quartus/db/test.fit.qmsg +++ b/proj_quartus/db/test.fit.qmsg @@ -1,44 +1,43 @@ -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678267801499 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678267801499 ""} -{ "Info" "IMPP_MPP_USER_DEVICE" "test 5CSEMA5F31C6 " "Selected device 5CSEMA5F31C6 for design \"test\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678267801517 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678267801556 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678267801556 ""} -{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678267802039 ""} -{ "Warning" "WCPT_FEATURE_DISABLED_POST" "LogicLock " "Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." { } { } 0 292013 "Feature %1!s! is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature." 0 0 "Fitter" 0 -1 1678267802132 ""} -{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678267802771 ""} -{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1678267802837 ""} -{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1678267811278 ""} -{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk_i~inputCLKENA0 1507 global CLKCTRL_G6 " "clk_i~inputCLKENA0 with 1507 fanout uses global clock CLKCTRL_G6" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1678267811385 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1678267811385 ""} -{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267811386 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678267811480 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678267811486 ""} -{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678267811496 ""} -{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678267811504 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678267811504 ""} -{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678267811508 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678267812690 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678267812691 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678267812745 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1678267812746 ""} -{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678267812746 ""} -{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678267812935 ""} -{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678267812939 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678267812939 ""} -{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:11 " "Fitter preparation operations ending: elapsed time is 00:00:11" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267813157 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678267817290 ""} -{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1678267817755 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:12 " "Fitter placement preparation operations ending: elapsed time is 00:00:12" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267829208 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678267837562 ""} -{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678267841554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:04 " "Fitter placement operations ending: elapsed time is 00:00:04" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267841554 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678267842844 ""} -{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "1 " "Router estimated average interconnect usage is 1% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "17 X56_Y0 X66_Y10 " "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X56_Y0 to location X66_Y10" { } { { "loc" "" { Generic "/home/stepan/Travail/SETI/Projet/proj_quartus/" { { 1 { 0 "Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X56_Y0 to location X66_Y10"} { { 12 { 0 ""} 56 0 11 11 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678267847052 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678267847052 ""} -{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678267851157 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678267851157 ""} -{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:06 " "Fitter routing operations ending: elapsed time is 00:00:06" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267851159 ""} -{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 2.96 " "Total time spent on timing analysis during the Fitter is 2.96 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678267854145 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678267854213 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678267854962 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678267854963 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678267855594 ""} -{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:04 " "Fitter post-fit operations ending: elapsed time is 00:00:04" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678267858926 ""} -{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678267859148 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "2433 " "Peak virtual memory: 2433 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678267860127 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 10:31:00 2023 " "Processing ended: Wed Mar 8 10:31:00 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678267860127 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:00 " "Elapsed time: 00:01:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678267860127 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:02:56 " "Total CPU time (on all processors): 00:02:56" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678267860127 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678267860127 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Fitter" 0 -1 1678285581377 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Fitter" 0 -1 1678285581377 ""} +{ "Info" "IMPP_MPP_USER_DEVICE" "test 5CSEMA5F31C6 " "Selected device 5CSEMA5F31C6 for design \"test\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1678285581401 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678285581444 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Fitter" 0 -1 1678285581444 ""} +{ "Info" "IFITCC_FITCC_INFO_AUTO_FIT_COMPILATION_ON" "" "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" { } { } 0 171003 "Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time" 0 0 "Fitter" 0 -1 1678285581853 ""} +{ "Warning" "WCUT_CUT_ATOM_PINS_WITH_INCOMPLETE_IO_ASSIGNMENTS" "" "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" { } { } 0 15714 "Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details" 0 0 "Fitter" 0 -1 1678285582002 ""} +{ "Info" "IFSAC_FSAC_RAM_METASTABILITY_INFO" "" "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." { } { } 0 176045 "Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements." 0 0 "Fitter" 0 -1 1678285582057 ""} +{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_START_INFO" "" "Starting Fitter periphery placement operations" { } { } 0 184020 "Starting Fitter periphery placement operations" 0 0 "Fitter" 0 -1 1678285592316 ""} +{ "Info" "ICCLK_CLOCKS_TOP_AUTO" "1 (1 global) " "Automatically promoted 1 clock (1 global)" { { "Info" "ICCLK_PROMOTE_ASSIGNMENT" "clk_i~inputCLKENA0 1617 global CLKCTRL_G6 " "clk_i~inputCLKENA0 with 1617 fanout uses global clock CLKCTRL_G6" { } { } 0 11162 "%1!s! with %2!d! fanout uses %3!s! clock %4!s!" 0 0 "Design Software" 0 -1 1678285592439 ""} } { } 0 11191 "Automatically promoted %1!d! clock%2!s! %3!s!" 0 0 "Fitter" 0 -1 1678285592439 ""} +{ "Info" "IFITCC_FITCC_FITTER_PERIPHERY_PLACEMENT_END_INFO" "00:00:00 " "Fitter periphery placement operations ending: elapsed time is 00:00:00" { } { } 0 184021 "Fitter periphery placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285592440 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_START_REGPACKING_INFO" "" "Starting register packing" { } { } 0 176233 "Starting register packing" 0 0 "Fitter" 0 -1 1678285592469 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_BEGIN_FAST_REGISTER_INFO" "" "Started Fast Input/Output/OE register processing" { } { } 1 176236 "Started Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678285592473 ""} +{ "Extra Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_FAST_REGISTER_INFO" "" "Finished Fast Input/Output/OE register processing" { } { } 1 176237 "Finished Fast Input/Output/OE register processing" 1 0 "Fitter" 0 -1 1678285592483 ""} +{ "Extra Info" "IFSAC_FSAC_START_MAC_SCAN_CHAIN_INFERENCING" "" "Start inferring scan chains for DSP blocks" { } { } 1 176238 "Start inferring scan chains for DSP blocks" 1 0 "Fitter" 0 -1 1678285592491 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_MAC_SCAN_CHAIN_INFERENCING" "" "Inferring scan chains for DSP blocks is complete" { } { } 1 176239 "Inferring scan chains for DSP blocks is complete" 1 0 "Fitter" 0 -1 1678285592491 ""} +{ "Extra Info" "IFSAC_FSAC_START_IO_MAC_RAM_PACKING" "" "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" { } { } 1 176246 "Moving registers into I/O cells, DSP blocks, and RAM blocks to improve timing and density" 1 0 "Fitter" 0 -1 1678285592495 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Fitter" 0 -1 1678285593365 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_NO_DERIVING_MSG" "base clocks " "No user constrained base clocks found in the design" { } { } 0 332144 "No user constrained %1!s! found in the design" 0 0 "Fitter" 0 -1 1678285593366 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Fitter" 0 -1 1678285593414 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Fitter" 0 -1 1678285593415 ""} +{ "Info" "ISTA_TDC_NO_DEFAULT_OPTIMIZATION_GOALS" "" "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." { } { } 0 332130 "Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time." 0 0 "Fitter" 0 -1 1678285593416 ""} +{ "Extra Info" "IFSAC_FSAC_FINISH_IO_MAC_RAM_PACKING" "" "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" { } { } 1 176247 "Finished moving registers into I/O cells, DSP blocks, and RAM blocks" 1 0 "Fitter" 0 -1 1678285593663 ""} +{ "Info" "IFSAC_FSAC_REGISTER_PACKING_FINISH_REGPACKING_INFO" "" "Finished register packing" { { "Extra Info" "IFSAC_NO_REGISTERS_WERE_PACKED" "" "No registers were packed into other blocks" { } { } 1 176219 "No registers were packed into other blocks" 0 0 "Design Software" 0 -1 1678285593669 ""} } { } 0 176235 "Finished register packing" 0 0 "Fitter" 0 -1 1678285593669 ""} +{ "Info" "IFSV_FITTER_PREPARATION_END" "00:00:12 " "Fitter preparation operations ending: elapsed time is 00:00:12" { } { } 0 11798 "Fitter preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285593806 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_START" "" "Fitter placement preparation operations beginning" { } { } 0 170189 "Fitter placement preparation operations beginning" 0 0 "Fitter" 0 -1 1678285599222 ""} +{ "Info" "IVPR20K_VPR_APL_ENABLED" "" "The Fitter is using Advanced Physical Optimization." { } { } 0 14951 "The Fitter is using Advanced Physical Optimization." 0 0 "Fitter" 0 -1 1678285599803 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_PREP_END" "00:00:19 " "Fitter placement preparation operations ending: elapsed time is 00:00:19" { } { } 0 170190 "Fitter placement preparation operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285618119 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_START" "" "Fitter placement operations beginning" { } { } 0 170191 "Fitter placement operations beginning" 0 0 "Fitter" 0 -1 1678285623743 ""} +{ "Info" "IFITAPI_FITAPI_INFO_VPR_PLACEMENT_FINISH" "" "Fitter placement was successful" { } { } 0 170137 "Fitter placement was successful" 0 0 "Fitter" 0 -1 1678285631419 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_PLACEMENT_END" "00:00:08 " "Fitter placement operations ending: elapsed time is 00:00:08" { } { } 0 170192 "Fitter placement operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285631419 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_START" "" "Fitter routing operations beginning" { } { } 0 170193 "Fitter routing operations beginning" 0 0 "Fitter" 0 -1 1678285632758 ""} +{ "Info" "IFITAPI_FITAPI_VPR_PERCENT_ROUTING_RESOURCE_USAGE" "1 " "Router estimated average interconnect usage is 1% of the available device resources" { { "Info" "IFITAPI_FITAPI_VPR_PEAK_ROUTING_REGION" "27 X45_Y11 X55_Y22 " "Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X45_Y11 to location X55_Y22" { } { { "loc" "" { Generic "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/" { { 1 { 0 "Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X45_Y11 to location X55_Y22"} { { 12 { 0 ""} 45 11 11 12 } } } } } } } 0 170196 "Router estimated peak interconnect usage is %1!d!%% of the available device resources in the region that extends from location %2!s! to location %3!s!" 0 0 "Design Software" 0 -1 1678285639503 ""} } { } 0 170195 "Router estimated average interconnect usage is %1!d!%% of the available device resources" 0 0 "Fitter" 0 -1 1678285639503 ""} +{ "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED" "" "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." { { "Info" "IFITAPI_FITAPI_VPR_AUTO_FIT_ENABLED_AND_USED_FOR_ROUTABILITY" "" "Optimizations that may affect the design's routability were skipped" { } { } 0 170201 "Optimizations that may affect the design's routability were skipped" 0 0 "Design Software" 0 -1 1678285645682 ""} } { } 0 170199 "The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time." 0 0 "Fitter" 0 -1 1678285645682 ""} +{ "Info" "IFITAPI_FITAPI_VPR_FITTER_ROUTING_END" "00:00:09 " "Fitter routing operations ending: elapsed time is 00:00:09" { } { } 0 170194 "Fitter routing operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285645685 ""} +{ "Info" "IVPR20K_VPR_TIMING_ANALYSIS_TIME" "the Fitter 4.90 " "Total time spent on timing analysis during the Fitter is 4.90 seconds." { } { } 0 11888 "Total time spent on timing analysis during %1!s! is %2!s! seconds." 0 0 "Fitter" 0 -1 1678285648974 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678285649063 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678285650163 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Fitter" 0 -1 1678285650166 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Fitter" 0 -1 1678285651216 ""} +{ "Info" "IFSV_FITTER_POST_OPERATION_END" "00:00:06 " "Fitter post-fit operations ending: elapsed time is 00:00:06" { } { } 0 11801 "Fitter post-fit operations ending: elapsed time is %1!s!" 0 0 "Fitter" 0 -1 1678285655573 ""} +{ "Warning" "WFITCC_FITCC_IGNORED_ASSIGNMENT" "" "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." { } { } 0 171167 "Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information." 0 0 "Fitter" 0 -1 1678285655869 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 4 s Quartus Prime " "Quartus Prime Fitter was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "2076 " "Peak virtual memory: 2076 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285657212 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:27:37 2023 " "Processing ended: Wed Mar 8 15:27:37 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285657212 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:01:17 " "Elapsed time: 00:01:17" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285657212 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:02:59 " "Total CPU time (on all processors): 00:02:59" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285657212 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1678285657212 ""} diff --git a/proj_quartus/db/test.hier_info b/proj_quartus/db/test.hier_info index d0d7bc113..edebd3bb7 100644 --- a/proj_quartus/db/test.hier_info +++ b/proj_quartus/db/test.hier_info @@ -1,15 +1,15 @@ |neorv32_test_setup_bootloader clk_i => neorv32_top:neorv32_top_inst.clk_i rstn_i => neorv32_top:neorv32_top_inst.rstn_i -gpio_o[0] << neorv32_top:neorv32_top_inst.gpio_o[0] -gpio_o[1] << neorv32_top:neorv32_top_inst.gpio_o[1] -gpio_o[2] << neorv32_top:neorv32_top_inst.gpio_o[2] -gpio_o[3] << neorv32_top:neorv32_top_inst.gpio_o[3] -gpio_o[4] << neorv32_top:neorv32_top_inst.gpio_o[4] -gpio_o[5] << neorv32_top:neorv32_top_inst.gpio_o[5] -gpio_o[6] << neorv32_top:neorv32_top_inst.gpio_o[6] -gpio_o[7] << neorv32_top:neorv32_top_inst.gpio_o[7] -uart0_txd_o << neorv32_top:neorv32_top_inst.uart0_txd_o +gpio_o[0] <= neorv32_top:neorv32_top_inst.gpio_o[0] +gpio_o[1] <= neorv32_top:neorv32_top_inst.gpio_o[1] +gpio_o[2] <= neorv32_top:neorv32_top_inst.gpio_o[2] +gpio_o[3] <= neorv32_top:neorv32_top_inst.gpio_o[3] +gpio_o[4] <= neorv32_top:neorv32_top_inst.gpio_o[4] +gpio_o[5] <= neorv32_top:neorv32_top_inst.gpio_o[5] +gpio_o[6] <= neorv32_top:neorv32_top_inst.gpio_o[6] +gpio_o[7] <= neorv32_top:neorv32_top_inst.gpio_o[7] +uart0_txd_o <= neorv32_top:neorv32_top_inst.uart0_txd_o uart0_rxd_i => neorv32_top:neorv32_top_inst.uart0_rxd_i @@ -172,8 +172,568 @@ fence_o <= neorv32_cpu:neorv32_cpu_inst.d_bus_fence_o fencei_o <= neorv32_cpu:neorv32_cpu_inst.i_bus_fence_o xip_csn_o <= xip_clk_o <= -xip_dat_i => ~NO_FANOUT~ -xip_dat_o <= +xip_sdi_i => ~NO_FANOUT~ +xip_sdo_o <= +slink_tx_dat_o[7][0] <= +slink_tx_dat_o[7][1] <= +slink_tx_dat_o[7][2] <= +slink_tx_dat_o[7][3] <= +slink_tx_dat_o[7][4] <= +slink_tx_dat_o[7][5] <= +slink_tx_dat_o[7][6] <= +slink_tx_dat_o[7][7] <= +slink_tx_dat_o[7][8] <= +slink_tx_dat_o[7][9] <= +slink_tx_dat_o[7][10] <= +slink_tx_dat_o[7][11] <= +slink_tx_dat_o[7][12] <= +slink_tx_dat_o[7][13] <= +slink_tx_dat_o[7][14] <= +slink_tx_dat_o[7][15] <= +slink_tx_dat_o[7][16] <= +slink_tx_dat_o[7][17] <= +slink_tx_dat_o[7][18] <= +slink_tx_dat_o[7][19] <= +slink_tx_dat_o[7][20] <= +slink_tx_dat_o[7][21] <= +slink_tx_dat_o[7][22] <= +slink_tx_dat_o[7][23] <= +slink_tx_dat_o[7][24] <= +slink_tx_dat_o[7][25] <= +slink_tx_dat_o[7][26] <= +slink_tx_dat_o[7][27] <= +slink_tx_dat_o[7][28] <= +slink_tx_dat_o[7][29] <= +slink_tx_dat_o[7][30] <= +slink_tx_dat_o[7][31] <= +slink_tx_dat_o[6][0] <= +slink_tx_dat_o[6][1] <= +slink_tx_dat_o[6][2] <= +slink_tx_dat_o[6][3] <= +slink_tx_dat_o[6][4] <= +slink_tx_dat_o[6][5] <= +slink_tx_dat_o[6][6] <= +slink_tx_dat_o[6][7] <= +slink_tx_dat_o[6][8] <= +slink_tx_dat_o[6][9] <= +slink_tx_dat_o[6][10] <= +slink_tx_dat_o[6][11] <= +slink_tx_dat_o[6][12] <= +slink_tx_dat_o[6][13] <= +slink_tx_dat_o[6][14] <= +slink_tx_dat_o[6][15] <= +slink_tx_dat_o[6][16] <= +slink_tx_dat_o[6][17] <= +slink_tx_dat_o[6][18] <= +slink_tx_dat_o[6][19] <= +slink_tx_dat_o[6][20] <= +slink_tx_dat_o[6][21] <= +slink_tx_dat_o[6][22] <= +slink_tx_dat_o[6][23] <= +slink_tx_dat_o[6][24] <= +slink_tx_dat_o[6][25] <= +slink_tx_dat_o[6][26] <= +slink_tx_dat_o[6][27] <= +slink_tx_dat_o[6][28] <= +slink_tx_dat_o[6][29] <= +slink_tx_dat_o[6][30] <= +slink_tx_dat_o[6][31] <= +slink_tx_dat_o[5][0] <= +slink_tx_dat_o[5][1] <= +slink_tx_dat_o[5][2] <= +slink_tx_dat_o[5][3] <= +slink_tx_dat_o[5][4] <= +slink_tx_dat_o[5][5] <= +slink_tx_dat_o[5][6] <= +slink_tx_dat_o[5][7] <= +slink_tx_dat_o[5][8] <= +slink_tx_dat_o[5][9] <= +slink_tx_dat_o[5][10] <= +slink_tx_dat_o[5][11] <= +slink_tx_dat_o[5][12] <= +slink_tx_dat_o[5][13] <= +slink_tx_dat_o[5][14] <= +slink_tx_dat_o[5][15] <= +slink_tx_dat_o[5][16] <= +slink_tx_dat_o[5][17] <= +slink_tx_dat_o[5][18] <= +slink_tx_dat_o[5][19] <= +slink_tx_dat_o[5][20] <= +slink_tx_dat_o[5][21] <= +slink_tx_dat_o[5][22] <= +slink_tx_dat_o[5][23] <= +slink_tx_dat_o[5][24] <= +slink_tx_dat_o[5][25] <= +slink_tx_dat_o[5][26] <= +slink_tx_dat_o[5][27] <= +slink_tx_dat_o[5][28] <= +slink_tx_dat_o[5][29] <= +slink_tx_dat_o[5][30] <= +slink_tx_dat_o[5][31] <= +slink_tx_dat_o[4][0] <= +slink_tx_dat_o[4][1] <= +slink_tx_dat_o[4][2] <= +slink_tx_dat_o[4][3] <= +slink_tx_dat_o[4][4] <= +slink_tx_dat_o[4][5] <= +slink_tx_dat_o[4][6] <= +slink_tx_dat_o[4][7] <= +slink_tx_dat_o[4][8] <= +slink_tx_dat_o[4][9] <= +slink_tx_dat_o[4][10] <= +slink_tx_dat_o[4][11] <= +slink_tx_dat_o[4][12] <= +slink_tx_dat_o[4][13] <= +slink_tx_dat_o[4][14] <= +slink_tx_dat_o[4][15] <= +slink_tx_dat_o[4][16] <= +slink_tx_dat_o[4][17] <= +slink_tx_dat_o[4][18] <= +slink_tx_dat_o[4][19] <= +slink_tx_dat_o[4][20] <= +slink_tx_dat_o[4][21] <= +slink_tx_dat_o[4][22] <= +slink_tx_dat_o[4][23] <= +slink_tx_dat_o[4][24] <= +slink_tx_dat_o[4][25] <= +slink_tx_dat_o[4][26] <= +slink_tx_dat_o[4][27] <= +slink_tx_dat_o[4][28] <= +slink_tx_dat_o[4][29] <= +slink_tx_dat_o[4][30] <= +slink_tx_dat_o[4][31] <= +slink_tx_dat_o[3][0] <= +slink_tx_dat_o[3][1] <= +slink_tx_dat_o[3][2] <= +slink_tx_dat_o[3][3] <= +slink_tx_dat_o[3][4] <= +slink_tx_dat_o[3][5] <= +slink_tx_dat_o[3][6] <= +slink_tx_dat_o[3][7] <= +slink_tx_dat_o[3][8] <= +slink_tx_dat_o[3][9] <= +slink_tx_dat_o[3][10] <= +slink_tx_dat_o[3][11] <= +slink_tx_dat_o[3][12] <= +slink_tx_dat_o[3][13] <= +slink_tx_dat_o[3][14] <= +slink_tx_dat_o[3][15] <= +slink_tx_dat_o[3][16] <= +slink_tx_dat_o[3][17] <= +slink_tx_dat_o[3][18] <= +slink_tx_dat_o[3][19] <= +slink_tx_dat_o[3][20] <= +slink_tx_dat_o[3][21] <= +slink_tx_dat_o[3][22] <= +slink_tx_dat_o[3][23] <= +slink_tx_dat_o[3][24] <= +slink_tx_dat_o[3][25] <= +slink_tx_dat_o[3][26] <= +slink_tx_dat_o[3][27] <= +slink_tx_dat_o[3][28] <= +slink_tx_dat_o[3][29] <= +slink_tx_dat_o[3][30] <= +slink_tx_dat_o[3][31] <= +slink_tx_dat_o[2][0] <= +slink_tx_dat_o[2][1] <= +slink_tx_dat_o[2][2] <= +slink_tx_dat_o[2][3] <= +slink_tx_dat_o[2][4] <= +slink_tx_dat_o[2][5] <= +slink_tx_dat_o[2][6] <= +slink_tx_dat_o[2][7] <= +slink_tx_dat_o[2][8] <= +slink_tx_dat_o[2][9] <= +slink_tx_dat_o[2][10] <= +slink_tx_dat_o[2][11] <= +slink_tx_dat_o[2][12] <= +slink_tx_dat_o[2][13] <= +slink_tx_dat_o[2][14] <= +slink_tx_dat_o[2][15] <= +slink_tx_dat_o[2][16] <= +slink_tx_dat_o[2][17] <= +slink_tx_dat_o[2][18] <= +slink_tx_dat_o[2][19] <= +slink_tx_dat_o[2][20] <= +slink_tx_dat_o[2][21] <= +slink_tx_dat_o[2][22] <= +slink_tx_dat_o[2][23] <= +slink_tx_dat_o[2][24] <= +slink_tx_dat_o[2][25] <= +slink_tx_dat_o[2][26] <= +slink_tx_dat_o[2][27] <= +slink_tx_dat_o[2][28] <= +slink_tx_dat_o[2][29] <= +slink_tx_dat_o[2][30] <= +slink_tx_dat_o[2][31] <= +slink_tx_dat_o[1][0] <= +slink_tx_dat_o[1][1] <= +slink_tx_dat_o[1][2] <= +slink_tx_dat_o[1][3] <= +slink_tx_dat_o[1][4] <= +slink_tx_dat_o[1][5] <= +slink_tx_dat_o[1][6] <= +slink_tx_dat_o[1][7] <= +slink_tx_dat_o[1][8] <= +slink_tx_dat_o[1][9] <= +slink_tx_dat_o[1][10] <= +slink_tx_dat_o[1][11] <= +slink_tx_dat_o[1][12] <= +slink_tx_dat_o[1][13] <= +slink_tx_dat_o[1][14] <= +slink_tx_dat_o[1][15] <= +slink_tx_dat_o[1][16] <= +slink_tx_dat_o[1][17] <= +slink_tx_dat_o[1][18] <= +slink_tx_dat_o[1][19] <= +slink_tx_dat_o[1][20] <= +slink_tx_dat_o[1][21] <= +slink_tx_dat_o[1][22] <= +slink_tx_dat_o[1][23] <= +slink_tx_dat_o[1][24] <= +slink_tx_dat_o[1][25] <= +slink_tx_dat_o[1][26] <= +slink_tx_dat_o[1][27] <= +slink_tx_dat_o[1][28] <= +slink_tx_dat_o[1][29] <= +slink_tx_dat_o[1][30] <= +slink_tx_dat_o[1][31] <= +slink_tx_dat_o[0][0] <= +slink_tx_dat_o[0][1] <= +slink_tx_dat_o[0][2] <= +slink_tx_dat_o[0][3] <= +slink_tx_dat_o[0][4] <= +slink_tx_dat_o[0][5] <= +slink_tx_dat_o[0][6] <= +slink_tx_dat_o[0][7] <= +slink_tx_dat_o[0][8] <= +slink_tx_dat_o[0][9] <= +slink_tx_dat_o[0][10] <= +slink_tx_dat_o[0][11] <= +slink_tx_dat_o[0][12] <= +slink_tx_dat_o[0][13] <= +slink_tx_dat_o[0][14] <= +slink_tx_dat_o[0][15] <= +slink_tx_dat_o[0][16] <= +slink_tx_dat_o[0][17] <= +slink_tx_dat_o[0][18] <= +slink_tx_dat_o[0][19] <= +slink_tx_dat_o[0][20] <= +slink_tx_dat_o[0][21] <= +slink_tx_dat_o[0][22] <= +slink_tx_dat_o[0][23] <= +slink_tx_dat_o[0][24] <= +slink_tx_dat_o[0][25] <= +slink_tx_dat_o[0][26] <= +slink_tx_dat_o[0][27] <= +slink_tx_dat_o[0][28] <= +slink_tx_dat_o[0][29] <= +slink_tx_dat_o[0][30] <= +slink_tx_dat_o[0][31] <= +slink_tx_val_o[0] <= +slink_tx_val_o[1] <= +slink_tx_val_o[2] <= +slink_tx_val_o[3] <= +slink_tx_val_o[4] <= +slink_tx_val_o[5] <= +slink_tx_val_o[6] <= +slink_tx_val_o[7] <= +slink_tx_rdy_i[0] => ~NO_FANOUT~ +slink_tx_rdy_i[1] => ~NO_FANOUT~ +slink_tx_rdy_i[2] => ~NO_FANOUT~ +slink_tx_rdy_i[3] => ~NO_FANOUT~ +slink_tx_rdy_i[4] => ~NO_FANOUT~ +slink_tx_rdy_i[5] => ~NO_FANOUT~ +slink_tx_rdy_i[6] => ~NO_FANOUT~ +slink_tx_rdy_i[7] => ~NO_FANOUT~ +slink_tx_lst_o[0] <= +slink_tx_lst_o[1] <= +slink_tx_lst_o[2] <= +slink_tx_lst_o[3] <= +slink_tx_lst_o[4] <= +slink_tx_lst_o[5] <= +slink_tx_lst_o[6] <= +slink_tx_lst_o[7] <= +slink_rx_dat_i[7][0] => ~NO_FANOUT~ +slink_rx_dat_i[7][1] => ~NO_FANOUT~ +slink_rx_dat_i[7][2] => ~NO_FANOUT~ +slink_rx_dat_i[7][3] => ~NO_FANOUT~ +slink_rx_dat_i[7][4] => ~NO_FANOUT~ +slink_rx_dat_i[7][5] => ~NO_FANOUT~ +slink_rx_dat_i[7][6] => ~NO_FANOUT~ +slink_rx_dat_i[7][7] => ~NO_FANOUT~ +slink_rx_dat_i[7][8] => ~NO_FANOUT~ +slink_rx_dat_i[7][9] => ~NO_FANOUT~ +slink_rx_dat_i[7][10] => ~NO_FANOUT~ +slink_rx_dat_i[7][11] => ~NO_FANOUT~ +slink_rx_dat_i[7][12] => ~NO_FANOUT~ +slink_rx_dat_i[7][13] => ~NO_FANOUT~ +slink_rx_dat_i[7][14] => ~NO_FANOUT~ +slink_rx_dat_i[7][15] => ~NO_FANOUT~ +slink_rx_dat_i[7][16] => ~NO_FANOUT~ +slink_rx_dat_i[7][17] => ~NO_FANOUT~ +slink_rx_dat_i[7][18] => ~NO_FANOUT~ +slink_rx_dat_i[7][19] => ~NO_FANOUT~ +slink_rx_dat_i[7][20] => ~NO_FANOUT~ +slink_rx_dat_i[7][21] => ~NO_FANOUT~ +slink_rx_dat_i[7][22] => ~NO_FANOUT~ +slink_rx_dat_i[7][23] => ~NO_FANOUT~ +slink_rx_dat_i[7][24] => ~NO_FANOUT~ +slink_rx_dat_i[7][25] => ~NO_FANOUT~ +slink_rx_dat_i[7][26] => ~NO_FANOUT~ +slink_rx_dat_i[7][27] => ~NO_FANOUT~ +slink_rx_dat_i[7][28] => ~NO_FANOUT~ +slink_rx_dat_i[7][29] => ~NO_FANOUT~ +slink_rx_dat_i[7][30] => ~NO_FANOUT~ +slink_rx_dat_i[7][31] => ~NO_FANOUT~ +slink_rx_dat_i[6][0] => ~NO_FANOUT~ +slink_rx_dat_i[6][1] => ~NO_FANOUT~ +slink_rx_dat_i[6][2] => ~NO_FANOUT~ +slink_rx_dat_i[6][3] => ~NO_FANOUT~ +slink_rx_dat_i[6][4] => ~NO_FANOUT~ +slink_rx_dat_i[6][5] => ~NO_FANOUT~ +slink_rx_dat_i[6][6] => ~NO_FANOUT~ +slink_rx_dat_i[6][7] => ~NO_FANOUT~ +slink_rx_dat_i[6][8] => ~NO_FANOUT~ +slink_rx_dat_i[6][9] => ~NO_FANOUT~ +slink_rx_dat_i[6][10] => ~NO_FANOUT~ +slink_rx_dat_i[6][11] => ~NO_FANOUT~ +slink_rx_dat_i[6][12] => ~NO_FANOUT~ +slink_rx_dat_i[6][13] => ~NO_FANOUT~ +slink_rx_dat_i[6][14] => ~NO_FANOUT~ +slink_rx_dat_i[6][15] => ~NO_FANOUT~ +slink_rx_dat_i[6][16] => ~NO_FANOUT~ +slink_rx_dat_i[6][17] => ~NO_FANOUT~ +slink_rx_dat_i[6][18] => ~NO_FANOUT~ +slink_rx_dat_i[6][19] => ~NO_FANOUT~ +slink_rx_dat_i[6][20] => ~NO_FANOUT~ +slink_rx_dat_i[6][21] => ~NO_FANOUT~ +slink_rx_dat_i[6][22] => ~NO_FANOUT~ +slink_rx_dat_i[6][23] => ~NO_FANOUT~ +slink_rx_dat_i[6][24] => ~NO_FANOUT~ +slink_rx_dat_i[6][25] => ~NO_FANOUT~ +slink_rx_dat_i[6][26] => ~NO_FANOUT~ +slink_rx_dat_i[6][27] => ~NO_FANOUT~ +slink_rx_dat_i[6][28] => ~NO_FANOUT~ +slink_rx_dat_i[6][29] => ~NO_FANOUT~ +slink_rx_dat_i[6][30] => ~NO_FANOUT~ +slink_rx_dat_i[6][31] => ~NO_FANOUT~ +slink_rx_dat_i[5][0] => ~NO_FANOUT~ +slink_rx_dat_i[5][1] => ~NO_FANOUT~ +slink_rx_dat_i[5][2] => ~NO_FANOUT~ +slink_rx_dat_i[5][3] => ~NO_FANOUT~ +slink_rx_dat_i[5][4] => ~NO_FANOUT~ +slink_rx_dat_i[5][5] => ~NO_FANOUT~ +slink_rx_dat_i[5][6] => ~NO_FANOUT~ +slink_rx_dat_i[5][7] => ~NO_FANOUT~ +slink_rx_dat_i[5][8] => ~NO_FANOUT~ +slink_rx_dat_i[5][9] => ~NO_FANOUT~ +slink_rx_dat_i[5][10] => ~NO_FANOUT~ +slink_rx_dat_i[5][11] => ~NO_FANOUT~ +slink_rx_dat_i[5][12] => ~NO_FANOUT~ +slink_rx_dat_i[5][13] => ~NO_FANOUT~ +slink_rx_dat_i[5][14] => ~NO_FANOUT~ +slink_rx_dat_i[5][15] => ~NO_FANOUT~ +slink_rx_dat_i[5][16] => ~NO_FANOUT~ +slink_rx_dat_i[5][17] => ~NO_FANOUT~ +slink_rx_dat_i[5][18] => ~NO_FANOUT~ +slink_rx_dat_i[5][19] => ~NO_FANOUT~ +slink_rx_dat_i[5][20] => ~NO_FANOUT~ +slink_rx_dat_i[5][21] => ~NO_FANOUT~ +slink_rx_dat_i[5][22] => ~NO_FANOUT~ +slink_rx_dat_i[5][23] => ~NO_FANOUT~ +slink_rx_dat_i[5][24] => ~NO_FANOUT~ +slink_rx_dat_i[5][25] => ~NO_FANOUT~ +slink_rx_dat_i[5][26] => ~NO_FANOUT~ +slink_rx_dat_i[5][27] => ~NO_FANOUT~ +slink_rx_dat_i[5][28] => ~NO_FANOUT~ +slink_rx_dat_i[5][29] => ~NO_FANOUT~ +slink_rx_dat_i[5][30] => ~NO_FANOUT~ +slink_rx_dat_i[5][31] => ~NO_FANOUT~ +slink_rx_dat_i[4][0] => ~NO_FANOUT~ +slink_rx_dat_i[4][1] => ~NO_FANOUT~ +slink_rx_dat_i[4][2] => ~NO_FANOUT~ +slink_rx_dat_i[4][3] => ~NO_FANOUT~ +slink_rx_dat_i[4][4] => ~NO_FANOUT~ +slink_rx_dat_i[4][5] => ~NO_FANOUT~ +slink_rx_dat_i[4][6] => ~NO_FANOUT~ +slink_rx_dat_i[4][7] => ~NO_FANOUT~ +slink_rx_dat_i[4][8] => ~NO_FANOUT~ +slink_rx_dat_i[4][9] => ~NO_FANOUT~ +slink_rx_dat_i[4][10] => ~NO_FANOUT~ +slink_rx_dat_i[4][11] => ~NO_FANOUT~ +slink_rx_dat_i[4][12] => ~NO_FANOUT~ +slink_rx_dat_i[4][13] => ~NO_FANOUT~ +slink_rx_dat_i[4][14] => ~NO_FANOUT~ +slink_rx_dat_i[4][15] => ~NO_FANOUT~ +slink_rx_dat_i[4][16] => ~NO_FANOUT~ +slink_rx_dat_i[4][17] => ~NO_FANOUT~ +slink_rx_dat_i[4][18] => ~NO_FANOUT~ +slink_rx_dat_i[4][19] => ~NO_FANOUT~ +slink_rx_dat_i[4][20] => ~NO_FANOUT~ +slink_rx_dat_i[4][21] => ~NO_FANOUT~ +slink_rx_dat_i[4][22] => ~NO_FANOUT~ +slink_rx_dat_i[4][23] => ~NO_FANOUT~ +slink_rx_dat_i[4][24] => ~NO_FANOUT~ +slink_rx_dat_i[4][25] => ~NO_FANOUT~ +slink_rx_dat_i[4][26] => ~NO_FANOUT~ +slink_rx_dat_i[4][27] => ~NO_FANOUT~ +slink_rx_dat_i[4][28] => ~NO_FANOUT~ +slink_rx_dat_i[4][29] => ~NO_FANOUT~ +slink_rx_dat_i[4][30] => ~NO_FANOUT~ +slink_rx_dat_i[4][31] => ~NO_FANOUT~ +slink_rx_dat_i[3][0] => ~NO_FANOUT~ +slink_rx_dat_i[3][1] => ~NO_FANOUT~ +slink_rx_dat_i[3][2] => ~NO_FANOUT~ +slink_rx_dat_i[3][3] => ~NO_FANOUT~ +slink_rx_dat_i[3][4] => ~NO_FANOUT~ +slink_rx_dat_i[3][5] => ~NO_FANOUT~ +slink_rx_dat_i[3][6] => ~NO_FANOUT~ +slink_rx_dat_i[3][7] => ~NO_FANOUT~ +slink_rx_dat_i[3][8] => ~NO_FANOUT~ +slink_rx_dat_i[3][9] => ~NO_FANOUT~ +slink_rx_dat_i[3][10] => ~NO_FANOUT~ +slink_rx_dat_i[3][11] => ~NO_FANOUT~ +slink_rx_dat_i[3][12] => ~NO_FANOUT~ +slink_rx_dat_i[3][13] => ~NO_FANOUT~ +slink_rx_dat_i[3][14] => ~NO_FANOUT~ +slink_rx_dat_i[3][15] => ~NO_FANOUT~ +slink_rx_dat_i[3][16] => ~NO_FANOUT~ +slink_rx_dat_i[3][17] => ~NO_FANOUT~ +slink_rx_dat_i[3][18] => ~NO_FANOUT~ +slink_rx_dat_i[3][19] => ~NO_FANOUT~ +slink_rx_dat_i[3][20] => ~NO_FANOUT~ +slink_rx_dat_i[3][21] => ~NO_FANOUT~ +slink_rx_dat_i[3][22] => ~NO_FANOUT~ +slink_rx_dat_i[3][23] => ~NO_FANOUT~ +slink_rx_dat_i[3][24] => ~NO_FANOUT~ +slink_rx_dat_i[3][25] => ~NO_FANOUT~ +slink_rx_dat_i[3][26] => ~NO_FANOUT~ +slink_rx_dat_i[3][27] => ~NO_FANOUT~ +slink_rx_dat_i[3][28] => ~NO_FANOUT~ +slink_rx_dat_i[3][29] => ~NO_FANOUT~ +slink_rx_dat_i[3][30] => ~NO_FANOUT~ +slink_rx_dat_i[3][31] => ~NO_FANOUT~ +slink_rx_dat_i[2][0] => ~NO_FANOUT~ +slink_rx_dat_i[2][1] => ~NO_FANOUT~ +slink_rx_dat_i[2][2] => ~NO_FANOUT~ +slink_rx_dat_i[2][3] => ~NO_FANOUT~ +slink_rx_dat_i[2][4] => ~NO_FANOUT~ +slink_rx_dat_i[2][5] => ~NO_FANOUT~ +slink_rx_dat_i[2][6] => ~NO_FANOUT~ +slink_rx_dat_i[2][7] => ~NO_FANOUT~ +slink_rx_dat_i[2][8] => ~NO_FANOUT~ +slink_rx_dat_i[2][9] => ~NO_FANOUT~ +slink_rx_dat_i[2][10] => ~NO_FANOUT~ +slink_rx_dat_i[2][11] => ~NO_FANOUT~ +slink_rx_dat_i[2][12] => ~NO_FANOUT~ +slink_rx_dat_i[2][13] => ~NO_FANOUT~ +slink_rx_dat_i[2][14] => ~NO_FANOUT~ +slink_rx_dat_i[2][15] => ~NO_FANOUT~ +slink_rx_dat_i[2][16] => ~NO_FANOUT~ +slink_rx_dat_i[2][17] => ~NO_FANOUT~ +slink_rx_dat_i[2][18] => ~NO_FANOUT~ +slink_rx_dat_i[2][19] => ~NO_FANOUT~ +slink_rx_dat_i[2][20] => ~NO_FANOUT~ +slink_rx_dat_i[2][21] => ~NO_FANOUT~ +slink_rx_dat_i[2][22] => ~NO_FANOUT~ +slink_rx_dat_i[2][23] => ~NO_FANOUT~ +slink_rx_dat_i[2][24] => ~NO_FANOUT~ +slink_rx_dat_i[2][25] => ~NO_FANOUT~ +slink_rx_dat_i[2][26] => ~NO_FANOUT~ +slink_rx_dat_i[2][27] => ~NO_FANOUT~ +slink_rx_dat_i[2][28] => ~NO_FANOUT~ +slink_rx_dat_i[2][29] => ~NO_FANOUT~ +slink_rx_dat_i[2][30] => ~NO_FANOUT~ +slink_rx_dat_i[2][31] => ~NO_FANOUT~ +slink_rx_dat_i[1][0] => ~NO_FANOUT~ +slink_rx_dat_i[1][1] => ~NO_FANOUT~ +slink_rx_dat_i[1][2] => ~NO_FANOUT~ +slink_rx_dat_i[1][3] => ~NO_FANOUT~ +slink_rx_dat_i[1][4] => ~NO_FANOUT~ +slink_rx_dat_i[1][5] => ~NO_FANOUT~ +slink_rx_dat_i[1][6] => ~NO_FANOUT~ +slink_rx_dat_i[1][7] => ~NO_FANOUT~ +slink_rx_dat_i[1][8] => ~NO_FANOUT~ +slink_rx_dat_i[1][9] => ~NO_FANOUT~ +slink_rx_dat_i[1][10] => ~NO_FANOUT~ +slink_rx_dat_i[1][11] => ~NO_FANOUT~ +slink_rx_dat_i[1][12] => ~NO_FANOUT~ +slink_rx_dat_i[1][13] => ~NO_FANOUT~ +slink_rx_dat_i[1][14] => ~NO_FANOUT~ +slink_rx_dat_i[1][15] => ~NO_FANOUT~ +slink_rx_dat_i[1][16] => ~NO_FANOUT~ +slink_rx_dat_i[1][17] => ~NO_FANOUT~ +slink_rx_dat_i[1][18] => ~NO_FANOUT~ +slink_rx_dat_i[1][19] => ~NO_FANOUT~ +slink_rx_dat_i[1][20] => ~NO_FANOUT~ +slink_rx_dat_i[1][21] => ~NO_FANOUT~ +slink_rx_dat_i[1][22] => ~NO_FANOUT~ +slink_rx_dat_i[1][23] => ~NO_FANOUT~ +slink_rx_dat_i[1][24] => ~NO_FANOUT~ +slink_rx_dat_i[1][25] => ~NO_FANOUT~ +slink_rx_dat_i[1][26] => ~NO_FANOUT~ +slink_rx_dat_i[1][27] => ~NO_FANOUT~ +slink_rx_dat_i[1][28] => ~NO_FANOUT~ +slink_rx_dat_i[1][29] => ~NO_FANOUT~ +slink_rx_dat_i[1][30] => ~NO_FANOUT~ +slink_rx_dat_i[1][31] => ~NO_FANOUT~ +slink_rx_dat_i[0][0] => ~NO_FANOUT~ +slink_rx_dat_i[0][1] => ~NO_FANOUT~ +slink_rx_dat_i[0][2] => ~NO_FANOUT~ +slink_rx_dat_i[0][3] => ~NO_FANOUT~ +slink_rx_dat_i[0][4] => ~NO_FANOUT~ +slink_rx_dat_i[0][5] => ~NO_FANOUT~ +slink_rx_dat_i[0][6] => ~NO_FANOUT~ +slink_rx_dat_i[0][7] => ~NO_FANOUT~ +slink_rx_dat_i[0][8] => ~NO_FANOUT~ +slink_rx_dat_i[0][9] => ~NO_FANOUT~ +slink_rx_dat_i[0][10] => ~NO_FANOUT~ +slink_rx_dat_i[0][11] => ~NO_FANOUT~ +slink_rx_dat_i[0][12] => ~NO_FANOUT~ +slink_rx_dat_i[0][13] => ~NO_FANOUT~ +slink_rx_dat_i[0][14] => ~NO_FANOUT~ +slink_rx_dat_i[0][15] => ~NO_FANOUT~ +slink_rx_dat_i[0][16] => ~NO_FANOUT~ +slink_rx_dat_i[0][17] => ~NO_FANOUT~ +slink_rx_dat_i[0][18] => ~NO_FANOUT~ +slink_rx_dat_i[0][19] => ~NO_FANOUT~ +slink_rx_dat_i[0][20] => ~NO_FANOUT~ +slink_rx_dat_i[0][21] => ~NO_FANOUT~ +slink_rx_dat_i[0][22] => ~NO_FANOUT~ +slink_rx_dat_i[0][23] => ~NO_FANOUT~ +slink_rx_dat_i[0][24] => ~NO_FANOUT~ +slink_rx_dat_i[0][25] => ~NO_FANOUT~ +slink_rx_dat_i[0][26] => ~NO_FANOUT~ +slink_rx_dat_i[0][27] => ~NO_FANOUT~ +slink_rx_dat_i[0][28] => ~NO_FANOUT~ +slink_rx_dat_i[0][29] => ~NO_FANOUT~ +slink_rx_dat_i[0][30] => ~NO_FANOUT~ +slink_rx_dat_i[0][31] => ~NO_FANOUT~ +slink_rx_val_i[0] => ~NO_FANOUT~ +slink_rx_val_i[1] => ~NO_FANOUT~ +slink_rx_val_i[2] => ~NO_FANOUT~ +slink_rx_val_i[3] => ~NO_FANOUT~ +slink_rx_val_i[4] => ~NO_FANOUT~ +slink_rx_val_i[5] => ~NO_FANOUT~ +slink_rx_val_i[6] => ~NO_FANOUT~ +slink_rx_val_i[7] => ~NO_FANOUT~ +slink_rx_rdy_o[0] <= +slink_rx_rdy_o[1] <= +slink_rx_rdy_o[2] <= +slink_rx_rdy_o[3] <= +slink_rx_rdy_o[4] <= +slink_rx_rdy_o[5] <= +slink_rx_rdy_o[6] <= +slink_rx_rdy_o[7] <= +slink_rx_lst_i[0] => ~NO_FANOUT~ +slink_rx_lst_i[1] => ~NO_FANOUT~ +slink_rx_lst_i[2] => ~NO_FANOUT~ +slink_rx_lst_i[3] => ~NO_FANOUT~ +slink_rx_lst_i[4] => ~NO_FANOUT~ +slink_rx_lst_i[5] => ~NO_FANOUT~ +slink_rx_lst_i[6] => ~NO_FANOUT~ +slink_rx_lst_i[7] => ~NO_FANOUT~ gpio_o[0] <= neorv32_gpio:neorv32_gpio_inst_true:neorv32_gpio_inst.gpio_o[0] gpio_o[1] <= neorv32_gpio:neorv32_gpio_inst_true:neorv32_gpio_inst.gpio_o[1] gpio_o[2] <= neorv32_gpio:neorv32_gpio_inst_true:neorv32_gpio_inst.gpio_o[2] @@ -310,9 +870,9 @@ uart1_txd_o <= uart1_rxd_i => ~NO_FANOUT~ uart1_rts_o <= uart1_cts_i => ~NO_FANOUT~ -spi_clk_o <= -spi_dat_o <= -spi_dat_i => ~NO_FANOUT~ +spi_sck_o <= +spi_sdo_o <= +spi_sdi_i => ~NO_FANOUT~ spi_csn_o[0] <= spi_csn_o[1] <= spi_csn_o[2] <= @@ -321,10 +881,6 @@ spi_csn_o[4] <= spi_csn_o[5] <= spi_csn_o[6] <= spi_csn_o[7] <= -sdi_clk_i => ~NO_FANOUT~ -sdi_dat_o <= -sdi_dat_i => ~NO_FANOUT~ -sdi_csn_i => ~NO_FANOUT~ twi_sda_io <> twi_sda_io twi_scl_io <> twi_scl_io onewire_io <> onewire_io @@ -340,6 +896,54 @@ pwm_o[8] <= pwm_o[9] <= pwm_o[10] <= pwm_o[11] <= +pwm_o[12] <= +pwm_o[13] <= +pwm_o[14] <= +pwm_o[15] <= +pwm_o[16] <= +pwm_o[17] <= +pwm_o[18] <= +pwm_o[19] <= +pwm_o[20] <= +pwm_o[21] <= +pwm_o[22] <= +pwm_o[23] <= +pwm_o[24] <= +pwm_o[25] <= +pwm_o[26] <= +pwm_o[27] <= +pwm_o[28] <= +pwm_o[29] <= +pwm_o[30] <= +pwm_o[31] <= +pwm_o[32] <= +pwm_o[33] <= +pwm_o[34] <= +pwm_o[35] <= +pwm_o[36] <= +pwm_o[37] <= +pwm_o[38] <= +pwm_o[39] <= +pwm_o[40] <= +pwm_o[41] <= +pwm_o[42] <= +pwm_o[43] <= +pwm_o[44] <= +pwm_o[45] <= +pwm_o[46] <= +pwm_o[47] <= +pwm_o[48] <= +pwm_o[49] <= +pwm_o[50] <= +pwm_o[51] <= +pwm_o[52] <= +pwm_o[53] <= +pwm_o[54] <= +pwm_o[55] <= +pwm_o[56] <= +pwm_o[57] <= +pwm_o[58] <= +pwm_o[59] <= cfs_in_i[0] => ~NO_FANOUT~ cfs_in_i[1] => ~NO_FANOUT~ cfs_in_i[2] => ~NO_FANOUT~ @@ -450,8 +1054,8 @@ clk_i => neorv32_cpu_bus:neorv32_cpu_bus_inst.clk_i rstn_i => neorv32_cpu_control:neorv32_cpu_control_inst.rstn_i rstn_i => neorv32_cpu_alu:neorv32_cpu_alu_inst.rstn_i rstn_i => neorv32_cpu_bus:neorv32_cpu_bus_inst.rstn_i -sleep_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o.cpu_sleep -debug_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o.cpu_debug +sleep_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o[66] +debug_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o[68] i_bus_addr_o[0] <= neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_addr_o[0] i_bus_addr_o[1] <= neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_addr_o[1] i_bus_addr_o[2] <= neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_addr_o[2] @@ -519,8 +1123,8 @@ i_bus_rdata_i[31] => neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_rdata_i[ i_bus_re_o <= neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_re_o i_bus_ack_i => neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_ack_i i_bus_err_i => neorv32_cpu_control:neorv32_cpu_control_inst.i_bus_err_i -i_bus_fence_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o.bus_fencei -i_bus_priv_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o.cpu_priv +i_bus_fence_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o[41] +i_bus_priv_o <= neorv32_cpu_control:neorv32_cpu_control_inst.ctrl_o[65] d_bus_addr_o[0] <= neorv32_cpu_bus:neorv32_cpu_bus_inst.d_bus_addr_o[0] d_bus_addr_o[1] <= neorv32_cpu_bus:neorv32_cpu_bus_inst.d_bus_addr_o[1] d_bus_addr_o[2] <= neorv32_cpu_bus:neorv32_cpu_bus_inst.d_bus_addr_o[2] @@ -1088,26 +1692,26 @@ clk_i => trap_ctrl.exc_buf[7].CLK clk_i => trap_ctrl.exc_buf[8].CLK clk_i => trap_ctrl.exc_buf[9].CLK clk_i => trap_ctrl.exc_buf[10].CLK -clk_i => ctrl.bus_fencei.CLK -clk_i => ctrl.bus_fence.CLK -clk_i => ctrl.bus_mo_we.CLK -clk_i => ctrl.bus_req.CLK -clk_i => ctrl.alu_cp_trig[0].CLK -clk_i => ctrl.alu_cp_trig[1].CLK -clk_i => ctrl.alu_cp_trig[2].CLK -clk_i => ctrl.alu_cp_trig[3].CLK -clk_i => ctrl.alu_cp_trig[4].CLK -clk_i => ctrl.alu_cp_trig[5].CLK -clk_i => ctrl.alu_unsigned.CLK -clk_i => ctrl.alu_opb_mux.CLK -clk_i => ctrl.alu_opa_mux.CLK -clk_i => ctrl.alu_op[0].CLK -clk_i => ctrl.alu_op[1].CLK -clk_i => ctrl.alu_op[2].CLK -clk_i => ctrl.rf_zero_we.CLK -clk_i => ctrl.rf_mux[0].CLK -clk_i => ctrl.rf_mux[1].CLK -clk_i => ctrl.rf_wb_en.CLK +clk_i => trap_ctrl.exc_buf[11].CLK +clk_i => ctrl[0].CLK +clk_i => ctrl[21].CLK +clk_i => ctrl[22].CLK +clk_i => ctrl[23].CLK +clk_i => ctrl[24].CLK +clk_i => ctrl[25].CLK +clk_i => ctrl[26].CLK +clk_i => ctrl[27].CLK +clk_i => ctrl[28].CLK +clk_i => ctrl[29].CLK +clk_i => ctrl[33].CLK +clk_i => ctrl[34].CLK +clk_i => ctrl[35].CLK +clk_i => ctrl[36].CLK +clk_i => ctrl[37].CLK +clk_i => ctrl[38].CLK +clk_i => ctrl[39].CLK +clk_i => ctrl[40].CLK +clk_i => ctrl[41].CLK clk_i => execute_engine.branched.CLK clk_i => execute_engine.sleep.CLK clk_i => execute_engine.pc_last[1].CLK @@ -1204,6 +1808,8 @@ clk_i => execute_engine.pc[28].CLK clk_i => execute_engine.pc[29].CLK clk_i => execute_engine.pc[30].CLK clk_i => execute_engine.pc[31].CLK +clk_i => execute_engine.is_ici.CLK +clk_i => execute_engine.is_ci.CLK clk_i => execute_engine.i_reg[0].CLK clk_i => execute_engine.i_reg[1].CLK clk_i => execute_engine.i_reg[2].CLK @@ -1268,6 +1874,7 @@ clk_i => imm_o[28]~reg0.CLK clk_i => imm_o[29]~reg0.CLK clk_i => imm_o[30]~reg0.CLK clk_i => imm_o[31]~reg0.CLK +clk_i => issue_engine.align.CLK clk_i => fetch_engine.pmp_err.CLK clk_i => fetch_engine.pc[2].CLK clk_i => fetch_engine.pc[3].CLK @@ -1310,26 +1917,25 @@ clk_i => fetch_engine.state_prev~1.DATAIN clk_i => fetch_engine.state~1.DATAIN rstn_i => neorv32_fifo:prefetch_buffer:0:prefetch_buffer_inst.rstn_i rstn_i => neorv32_fifo:prefetch_buffer:1:prefetch_buffer_inst.rstn_i -rstn_i => ctrl.bus_fencei.ACLR -rstn_i => ctrl.bus_fence.ACLR -rstn_i => ctrl.bus_mo_we.ACLR -rstn_i => ctrl.bus_req.ACLR -rstn_i => ctrl.alu_cp_trig[0].ACLR -rstn_i => ctrl.alu_cp_trig[1].ACLR -rstn_i => ctrl.alu_cp_trig[2].ACLR -rstn_i => ctrl.alu_cp_trig[3].ACLR -rstn_i => ctrl.alu_cp_trig[4].ACLR -rstn_i => ctrl.alu_cp_trig[5].ACLR -rstn_i => ctrl.alu_unsigned.ACLR -rstn_i => ctrl.alu_opb_mux.ACLR -rstn_i => ctrl.alu_opa_mux.ACLR -rstn_i => ctrl.alu_op[0].ACLR -rstn_i => ctrl.alu_op[1].ACLR -rstn_i => ctrl.alu_op[2].ACLR -rstn_i => ctrl.rf_zero_we.ACLR -rstn_i => ctrl.rf_mux[0].ACLR -rstn_i => ctrl.rf_mux[1].ACLR -rstn_i => ctrl.rf_wb_en.ACLR +rstn_i => ctrl[0].ACLR +rstn_i => ctrl[21].ACLR +rstn_i => ctrl[22].ACLR +rstn_i => ctrl[23].ACLR +rstn_i => ctrl[24].ACLR +rstn_i => ctrl[25].ACLR +rstn_i => ctrl[26].ACLR +rstn_i => ctrl[27].ACLR +rstn_i => ctrl[28].ACLR +rstn_i => ctrl[29].ACLR +rstn_i => ctrl[33].ACLR +rstn_i => ctrl[34].ACLR +rstn_i => ctrl[35].ACLR +rstn_i => ctrl[36].ACLR +rstn_i => ctrl[37].ACLR +rstn_i => ctrl[38].ACLR +rstn_i => ctrl[39].ACLR +rstn_i => ctrl[40].ACLR +rstn_i => ctrl[41].ACLR rstn_i => execute_engine.branched.PRESET rstn_i => execute_engine.sleep.ACLR rstn_i => execute_engine.pc_last[1].ACLR @@ -1426,6 +2032,8 @@ rstn_i => execute_engine.pc[28].PRESET rstn_i => execute_engine.pc[29].PRESET rstn_i => execute_engine.pc[30].PRESET rstn_i => execute_engine.pc[31].PRESET +rstn_i => execute_engine.is_ici.ACLR +rstn_i => execute_engine.is_ci.ACLR rstn_i => execute_engine.i_reg[0].ACLR rstn_i => execute_engine.i_reg[1].ACLR rstn_i => execute_engine.i_reg[2].ACLR @@ -1721,6 +2329,7 @@ rstn_i => trap_ctrl.exc_buf[7].ACLR rstn_i => trap_ctrl.exc_buf[8].ACLR rstn_i => trap_ctrl.exc_buf[9].ACLR rstn_i => trap_ctrl.exc_buf[10].ACLR +rstn_i => trap_ctrl.exc_buf[11].ACLR rstn_i => trap_ctrl.env_start.ACLR rstn_i => csr.minstreth[0].ACLR rstn_i => csr.minstreth[1].ACLR @@ -1894,76 +2503,75 @@ rstn_i => execute_engine.state_prev~3.DATAIN rstn_i => execute_engine.state~3.DATAIN rstn_i => fetch_engine.state_prev~3.DATAIN rstn_i => fetch_engine.state~3.DATAIN -ctrl_o.cpu_debug <= -ctrl_o.cpu_trap <= trap_ctrl.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.cpu_sleep <= execute_engine.sleep.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.cpu_priv <= csr.privilege.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[0] <= execute_engine.i_reg[0].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[1] <= execute_engine.i_reg[1].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[2] <= execute_engine.i_reg[2].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[3] <= execute_engine.i_reg[3].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[4] <= execute_engine.i_reg[4].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[5] <= execute_engine.i_reg[5].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_opcode[6] <= execute_engine.i_reg[6].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[0] <= execute_engine.i_reg[20].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[1] <= execute_engine.i_reg[21].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[2] <= execute_engine.i_reg[22].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[3] <= execute_engine.i_reg[23].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[4] <= execute_engine.i_reg[24].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[5] <= execute_engine.i_reg[25].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[6] <= execute_engine.i_reg[26].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[7] <= execute_engine.i_reg[27].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[8] <= execute_engine.i_reg[28].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[9] <= execute_engine.i_reg[29].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[10] <= execute_engine.i_reg[30].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct12[11] <= execute_engine.i_reg[31].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct3[0] <= execute_engine.i_reg[12].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct3[1] <= execute_engine.i_reg[13].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.ir_funct3[2] <= execute_engine.i_reg[14].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.bus_priv <= ctrl_o.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.bus_fencei <= ctrl.bus_fencei.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.bus_fence <= ctrl.bus_fence.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.bus_mo_we <= ctrl.bus_mo_we.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.bus_req <= ctrl.bus_req.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[0] <= ctrl.alu_cp_trig[0].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[1] <= ctrl.alu_cp_trig[1].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[2] <= ctrl.alu_cp_trig[2].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[3] <= ctrl.alu_cp_trig[3].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[4] <= ctrl.alu_cp_trig[4].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_cp_trig[5] <= ctrl.alu_cp_trig[5].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_frm[0] <= csr.frm[0].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_frm[1] <= csr.frm[1].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_frm[2] <= csr.frm[2].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_unsigned <= ctrl.alu_unsigned.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_opb_mux <= ctrl.alu_opb_mux.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_opa_mux <= ctrl.alu_opa_mux.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_op[0] <= ctrl.alu_op[0].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_op[1] <= ctrl.alu_op[1].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.alu_op[2] <= ctrl.alu_op[2].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_zero_we <= ctrl.rf_zero_we.DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_mux[0] <= ctrl.rf_mux[0].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_mux[1] <= ctrl.rf_mux[1].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rd[0] <= execute_engine.i_reg[7].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rd[1] <= execute_engine.i_reg[8].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rd[2] <= execute_engine.i_reg[9].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rd[3] <= execute_engine.i_reg[10].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rd[4] <= execute_engine.i_reg[11].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs3[0] <= execute_engine.i_reg[27].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs3[1] <= execute_engine.i_reg[28].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs3[2] <= execute_engine.i_reg[29].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs3[3] <= execute_engine.i_reg[30].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs3[4] <= execute_engine.i_reg[31].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs2[0] <= execute_engine.i_reg[20].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs2[1] <= execute_engine.i_reg[21].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs2[2] <= execute_engine.i_reg[22].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs2[3] <= execute_engine.i_reg[23].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs2[4] <= execute_engine.i_reg[24].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs1[0] <= execute_engine.i_reg[15].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs1[1] <= execute_engine.i_reg[16].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs1[2] <= execute_engine.i_reg[17].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs1[3] <= execute_engine.i_reg[18].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_rs1[4] <= execute_engine.i_reg[19].DB_MAX_OUTPUT_PORT_TYPE -ctrl_o.rf_wb_en <= rf_wb_en.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[0] <= ctrl_o.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[1] <= execute_engine.i_reg[15].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[2] <= execute_engine.i_reg[16].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[3] <= execute_engine.i_reg[17].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[4] <= execute_engine.i_reg[18].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[5] <= execute_engine.i_reg[19].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[6] <= execute_engine.i_reg[20].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[7] <= execute_engine.i_reg[21].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[8] <= execute_engine.i_reg[22].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[9] <= execute_engine.i_reg[23].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[10] <= execute_engine.i_reg[24].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[11] <= execute_engine.i_reg[27].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[12] <= execute_engine.i_reg[28].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[13] <= execute_engine.i_reg[29].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[14] <= execute_engine.i_reg[30].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[15] <= execute_engine.i_reg[31].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[16] <= execute_engine.i_reg[7].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[17] <= execute_engine.i_reg[8].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[18] <= execute_engine.i_reg[9].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[19] <= execute_engine.i_reg[10].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[20] <= execute_engine.i_reg[11].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[21] <= ctrl[21].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[22] <= ctrl[22].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[23] <= ctrl[23].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[24] <= ctrl[24].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[25] <= ctrl[25].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[26] <= ctrl[26].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[27] <= ctrl[27].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[28] <= ctrl[28].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[29] <= ctrl[29].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[30] <= csr.frm[0].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[31] <= csr.frm[1].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[32] <= csr.frm[2].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[33] <= ctrl[33].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[34] <= ctrl[34].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[35] <= ctrl[35].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[36] <= ctrl[36].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[37] <= ctrl[37].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[38] <= ctrl[38].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[39] <= ctrl[39].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[40] <= ctrl[40].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[41] <= ctrl[41].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[42] <= ctrl_o.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[43] <= execute_engine.i_reg[12].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[44] <= execute_engine.i_reg[13].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[45] <= execute_engine.i_reg[14].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[46] <= execute_engine.i_reg[20].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[47] <= execute_engine.i_reg[21].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[48] <= execute_engine.i_reg[22].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[49] <= execute_engine.i_reg[23].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[50] <= execute_engine.i_reg[24].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[51] <= execute_engine.i_reg[25].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[52] <= execute_engine.i_reg[26].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[53] <= execute_engine.i_reg[27].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[54] <= execute_engine.i_reg[28].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[55] <= execute_engine.i_reg[29].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[56] <= execute_engine.i_reg[30].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[57] <= execute_engine.i_reg[31].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[58] <= execute_engine.i_reg[0].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[59] <= execute_engine.i_reg[1].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[60] <= execute_engine.i_reg[2].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[61] <= execute_engine.i_reg[3].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[62] <= execute_engine.i_reg[4].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[63] <= execute_engine.i_reg[5].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[64] <= execute_engine.i_reg[6].DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[65] <= csr.privilege.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[66] <= execute_engine.sleep.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[67] <= trap_ctrl.DB_MAX_OUTPUT_PORT_TYPE +ctrl_o[68] <= i_bus_addr_o[0] <= i_bus_addr_o[1] <= i_bus_addr_o[2] <= fetch_engine.pc[2].DB_MAX_OUTPUT_PORT_TYPE @@ -2034,8 +2642,7 @@ i_bus_err_i => fetch_engine.resp.IN1 i_bus_err_i => ipb.wdata[0][17].IN1 i_bus_err_i => ipb.wdata[1][17].IN1 i_pmp_fault_i => Selector35.IN2 -alu_cp_done_i => execute_engine_fsm_comb.IN1 -alu_exc_i => instr_il.IN1 +alu_idone_i => execute_engine_fsm_comb.IN1 bus_d_wait_i => execute_engine.OUTPUTSELECT bus_d_wait_i => execute_engine.OUTPUTSELECT bus_d_wait_i => execute_engine.OUTPUTSELECT @@ -3009,177 +3616,935 @@ be_store_i => exc_buf.IN1 |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst -clk_i => fifo.buf[0].CLK -clk_i => fifo.buf[1].CLK -clk_i => fifo.buf[2].CLK -clk_i => fifo.buf[3].CLK -clk_i => fifo.buf[4].CLK -clk_i => fifo.buf[5].CLK -clk_i => fifo.buf[6].CLK -clk_i => fifo.buf[7].CLK -clk_i => fifo.buf[8].CLK -clk_i => fifo.buf[9].CLK -clk_i => fifo.buf[10].CLK -clk_i => fifo.buf[11].CLK -clk_i => fifo.buf[12].CLK -clk_i => fifo.buf[13].CLK -clk_i => fifo.buf[14].CLK -clk_i => fifo.buf[15].CLK -clk_i => fifo.buf[16].CLK -clk_i => fifo.buf[17].CLK +clk_i => fifo.data[1][0].CLK +clk_i => fifo.data[1][1].CLK +clk_i => fifo.data[1][2].CLK +clk_i => fifo.data[1][3].CLK +clk_i => fifo.data[1][4].CLK +clk_i => fifo.data[1][5].CLK +clk_i => fifo.data[1][6].CLK +clk_i => fifo.data[1][7].CLK +clk_i => fifo.data[1][8].CLK +clk_i => fifo.data[1][9].CLK +clk_i => fifo.data[1][10].CLK +clk_i => fifo.data[1][11].CLK +clk_i => fifo.data[1][12].CLK +clk_i => fifo.data[1][13].CLK +clk_i => fifo.data[1][14].CLK +clk_i => fifo.data[1][15].CLK +clk_i => fifo.data[1][16].CLK +clk_i => fifo.data[1][17].CLK +clk_i => fifo.data[0][0].CLK +clk_i => fifo.data[0][1].CLK +clk_i => fifo.data[0][2].CLK +clk_i => fifo.data[0][3].CLK +clk_i => fifo.data[0][4].CLK +clk_i => fifo.data[0][5].CLK +clk_i => fifo.data[0][6].CLK +clk_i => fifo.data[0][7].CLK +clk_i => fifo.data[0][8].CLK +clk_i => fifo.data[0][9].CLK +clk_i => fifo.data[0][10].CLK +clk_i => fifo.data[0][11].CLK +clk_i => fifo.data[0][12].CLK +clk_i => fifo.data[0][13].CLK +clk_i => fifo.data[0][14].CLK +clk_i => fifo.data[0][15].CLK +clk_i => fifo.data[0][16].CLK +clk_i => fifo.data[0][17].CLK clk_i => fifo.r_pnt[0].CLK +clk_i => fifo.r_pnt[1].CLK clk_i => fifo.w_pnt[0].CLK +clk_i => fifo.w_pnt[1].CLK rstn_i => fifo.r_pnt[0].ACLR +rstn_i => fifo.r_pnt[1].ACLR rstn_i => fifo.w_pnt[0].ACLR +rstn_i => fifo.w_pnt[1].ACLR clear_i => fifo.OUTPUTSELECT clear_i => fifo.OUTPUTSELECT -half_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE -wdata_i[0] => fifo.buf[0].DATAIN -wdata_i[1] => fifo.buf[1].DATAIN -wdata_i[2] => fifo.buf[2].DATAIN -wdata_i[3] => fifo.buf[3].DATAIN -wdata_i[4] => fifo.buf[4].DATAIN -wdata_i[5] => fifo.buf[5].DATAIN -wdata_i[6] => fifo.buf[6].DATAIN -wdata_i[7] => fifo.buf[7].DATAIN -wdata_i[8] => fifo.buf[8].DATAIN -wdata_i[9] => fifo.buf[9].DATAIN -wdata_i[10] => fifo.buf[10].DATAIN -wdata_i[11] => fifo.buf[11].DATAIN -wdata_i[12] => fifo.buf[12].DATAIN -wdata_i[13] => fifo.buf[13].DATAIN -wdata_i[14] => fifo.buf[14].DATAIN -wdata_i[15] => fifo.buf[15].DATAIN -wdata_i[16] => fifo.buf[16].DATAIN -wdata_i[17] => fifo.buf[17].DATAIN +clear_i => fifo.OUTPUTSELECT +clear_i => fifo.OUTPUTSELECT +half_o <= half_o.DB_MAX_OUTPUT_PORT_TYPE +wdata_i[0] => data.DATAB +wdata_i[0] => data.DATAB +wdata_i[1] => data.DATAB +wdata_i[1] => data.DATAB +wdata_i[2] => data.DATAB +wdata_i[2] => data.DATAB +wdata_i[3] => data.DATAB +wdata_i[3] => data.DATAB +wdata_i[4] => data.DATAB +wdata_i[4] => data.DATAB +wdata_i[5] => data.DATAB +wdata_i[5] => data.DATAB +wdata_i[6] => data.DATAB +wdata_i[6] => data.DATAB +wdata_i[7] => data.DATAB +wdata_i[7] => data.DATAB +wdata_i[8] => data.DATAB +wdata_i[8] => data.DATAB +wdata_i[9] => data.DATAB +wdata_i[9] => data.DATAB +wdata_i[10] => data.DATAB +wdata_i[10] => data.DATAB +wdata_i[11] => data.DATAB +wdata_i[11] => data.DATAB +wdata_i[12] => data.DATAB +wdata_i[12] => data.DATAB +wdata_i[13] => data.DATAB +wdata_i[13] => data.DATAB +wdata_i[14] => data.DATAB +wdata_i[14] => data.DATAB +wdata_i[15] => data.DATAB +wdata_i[15] => data.DATAB +wdata_i[16] => data.DATAB +wdata_i[16] => data.DATAB +wdata_i[17] => data.DATAB +wdata_i[17] => data.DATAB we_i => fifo.OUTPUTSELECT -we_i => fifo.buf[4].ENA -we_i => fifo.buf[3].ENA -we_i => fifo.buf[2].ENA -we_i => fifo.buf[1].ENA -we_i => fifo.buf[0].ENA -we_i => fifo.buf[5].ENA -we_i => fifo.buf[6].ENA -we_i => fifo.buf[7].ENA -we_i => fifo.buf[8].ENA -we_i => fifo.buf[9].ENA -we_i => fifo.buf[10].ENA -we_i => fifo.buf[11].ENA -we_i => fifo.buf[12].ENA -we_i => fifo.buf[13].ENA -we_i => fifo.buf[14].ENA -we_i => fifo.buf[15].ENA -we_i => fifo.buf[16].ENA -we_i => fifo.buf[17].ENA -free_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE +we_i => fifo.OUTPUTSELECT +we_i => fifo.data[1][3].ENA +we_i => fifo.data[1][2].ENA +we_i => fifo.data[1][1].ENA +we_i => fifo.data[1][0].ENA +we_i => fifo.data[1][4].ENA +we_i => fifo.data[1][5].ENA +we_i => fifo.data[1][6].ENA +we_i => fifo.data[1][7].ENA +we_i => fifo.data[1][8].ENA +we_i => fifo.data[1][9].ENA +we_i => fifo.data[1][10].ENA +we_i => fifo.data[1][11].ENA +we_i => fifo.data[1][12].ENA +we_i => fifo.data[1][13].ENA +we_i => fifo.data[1][14].ENA +we_i => fifo.data[1][15].ENA +we_i => fifo.data[1][16].ENA +we_i => fifo.data[1][17].ENA +we_i => fifo.data[0][0].ENA +we_i => fifo.data[0][1].ENA +we_i => fifo.data[0][2].ENA +we_i => fifo.data[0][3].ENA +we_i => fifo.data[0][4].ENA +we_i => fifo.data[0][5].ENA +we_i => fifo.data[0][6].ENA +we_i => fifo.data[0][7].ENA +we_i => fifo.data[0][8].ENA +we_i => fifo.data[0][9].ENA +we_i => fifo.data[0][10].ENA +we_i => fifo.data[0][11].ENA +we_i => fifo.data[0][12].ENA +we_i => fifo.data[0][13].ENA +we_i => fifo.data[0][14].ENA +we_i => fifo.data[0][15].ENA +we_i => fifo.data[0][16].ENA +we_i => fifo.data[0][17].ENA +free_o <= fifo.full.DB_MAX_OUTPUT_PORT_TYPE re_i => fifo.OUTPUTSELECT -rdata_o[0] <= fifo.buf[0].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[1] <= fifo.buf[1].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[2] <= fifo.buf[2].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[3] <= fifo.buf[3].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[4] <= fifo.buf[4].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[5] <= fifo.buf[5].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[6] <= fifo.buf[6].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[7] <= fifo.buf[7].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[8] <= fifo.buf[8].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[9] <= fifo.buf[9].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[10] <= fifo.buf[10].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[11] <= fifo.buf[11].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[12] <= fifo.buf[12].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[13] <= fifo.buf[13].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[14] <= fifo.buf[14].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[15] <= fifo.buf[15].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[16] <= fifo.buf[16].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[17] <= fifo.buf[17].DB_MAX_OUTPUT_PORT_TYPE +re_i => fifo.OUTPUTSELECT +rdata_o[0] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[1] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[2] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[3] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[4] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[5] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[6] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[7] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[8] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[9] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[10] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[11] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[12] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[13] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[14] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[15] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[16] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[17] <= rdata.DB_MAX_OUTPUT_PORT_TYPE avail_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst -clk_i => fifo.buf[0].CLK -clk_i => fifo.buf[1].CLK -clk_i => fifo.buf[2].CLK -clk_i => fifo.buf[3].CLK -clk_i => fifo.buf[4].CLK -clk_i => fifo.buf[5].CLK -clk_i => fifo.buf[6].CLK -clk_i => fifo.buf[7].CLK -clk_i => fifo.buf[8].CLK -clk_i => fifo.buf[9].CLK -clk_i => fifo.buf[10].CLK -clk_i => fifo.buf[11].CLK -clk_i => fifo.buf[12].CLK -clk_i => fifo.buf[13].CLK -clk_i => fifo.buf[14].CLK -clk_i => fifo.buf[15].CLK -clk_i => fifo.buf[16].CLK -clk_i => fifo.buf[17].CLK +clk_i => fifo.data[1][0].CLK +clk_i => fifo.data[1][1].CLK +clk_i => fifo.data[1][2].CLK +clk_i => fifo.data[1][3].CLK +clk_i => fifo.data[1][4].CLK +clk_i => fifo.data[1][5].CLK +clk_i => fifo.data[1][6].CLK +clk_i => fifo.data[1][7].CLK +clk_i => fifo.data[1][8].CLK +clk_i => fifo.data[1][9].CLK +clk_i => fifo.data[1][10].CLK +clk_i => fifo.data[1][11].CLK +clk_i => fifo.data[1][12].CLK +clk_i => fifo.data[1][13].CLK +clk_i => fifo.data[1][14].CLK +clk_i => fifo.data[1][15].CLK +clk_i => fifo.data[1][16].CLK +clk_i => fifo.data[1][17].CLK +clk_i => fifo.data[0][0].CLK +clk_i => fifo.data[0][1].CLK +clk_i => fifo.data[0][2].CLK +clk_i => fifo.data[0][3].CLK +clk_i => fifo.data[0][4].CLK +clk_i => fifo.data[0][5].CLK +clk_i => fifo.data[0][6].CLK +clk_i => fifo.data[0][7].CLK +clk_i => fifo.data[0][8].CLK +clk_i => fifo.data[0][9].CLK +clk_i => fifo.data[0][10].CLK +clk_i => fifo.data[0][11].CLK +clk_i => fifo.data[0][12].CLK +clk_i => fifo.data[0][13].CLK +clk_i => fifo.data[0][14].CLK +clk_i => fifo.data[0][15].CLK +clk_i => fifo.data[0][16].CLK +clk_i => fifo.data[0][17].CLK clk_i => fifo.r_pnt[0].CLK +clk_i => fifo.r_pnt[1].CLK clk_i => fifo.w_pnt[0].CLK +clk_i => fifo.w_pnt[1].CLK rstn_i => fifo.r_pnt[0].ACLR +rstn_i => fifo.r_pnt[1].ACLR rstn_i => fifo.w_pnt[0].ACLR +rstn_i => fifo.w_pnt[1].ACLR clear_i => fifo.OUTPUTSELECT clear_i => fifo.OUTPUTSELECT -half_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE -wdata_i[0] => fifo.buf[0].DATAIN -wdata_i[1] => fifo.buf[1].DATAIN -wdata_i[2] => fifo.buf[2].DATAIN -wdata_i[3] => fifo.buf[3].DATAIN -wdata_i[4] => fifo.buf[4].DATAIN -wdata_i[5] => fifo.buf[5].DATAIN -wdata_i[6] => fifo.buf[6].DATAIN -wdata_i[7] => fifo.buf[7].DATAIN -wdata_i[8] => fifo.buf[8].DATAIN -wdata_i[9] => fifo.buf[9].DATAIN -wdata_i[10] => fifo.buf[10].DATAIN -wdata_i[11] => fifo.buf[11].DATAIN -wdata_i[12] => fifo.buf[12].DATAIN -wdata_i[13] => fifo.buf[13].DATAIN -wdata_i[14] => fifo.buf[14].DATAIN -wdata_i[15] => fifo.buf[15].DATAIN -wdata_i[16] => fifo.buf[16].DATAIN -wdata_i[17] => fifo.buf[17].DATAIN +clear_i => fifo.OUTPUTSELECT +clear_i => fifo.OUTPUTSELECT +half_o <= half_o.DB_MAX_OUTPUT_PORT_TYPE +wdata_i[0] => data.DATAB +wdata_i[0] => data.DATAB +wdata_i[1] => data.DATAB +wdata_i[1] => data.DATAB +wdata_i[2] => data.DATAB +wdata_i[2] => data.DATAB +wdata_i[3] => data.DATAB +wdata_i[3] => data.DATAB +wdata_i[4] => data.DATAB +wdata_i[4] => data.DATAB +wdata_i[5] => data.DATAB +wdata_i[5] => data.DATAB +wdata_i[6] => data.DATAB +wdata_i[6] => data.DATAB +wdata_i[7] => data.DATAB +wdata_i[7] => data.DATAB +wdata_i[8] => data.DATAB +wdata_i[8] => data.DATAB +wdata_i[9] => data.DATAB +wdata_i[9] => data.DATAB +wdata_i[10] => data.DATAB +wdata_i[10] => data.DATAB +wdata_i[11] => data.DATAB +wdata_i[11] => data.DATAB +wdata_i[12] => data.DATAB +wdata_i[12] => data.DATAB +wdata_i[13] => data.DATAB +wdata_i[13] => data.DATAB +wdata_i[14] => data.DATAB +wdata_i[14] => data.DATAB +wdata_i[15] => data.DATAB +wdata_i[15] => data.DATAB +wdata_i[16] => data.DATAB +wdata_i[16] => data.DATAB +wdata_i[17] => data.DATAB +wdata_i[17] => data.DATAB we_i => fifo.OUTPUTSELECT -we_i => fifo.buf[4].ENA -we_i => fifo.buf[3].ENA -we_i => fifo.buf[2].ENA -we_i => fifo.buf[1].ENA -we_i => fifo.buf[0].ENA -we_i => fifo.buf[5].ENA -we_i => fifo.buf[6].ENA -we_i => fifo.buf[7].ENA -we_i => fifo.buf[8].ENA -we_i => fifo.buf[9].ENA -we_i => fifo.buf[10].ENA -we_i => fifo.buf[11].ENA -we_i => fifo.buf[12].ENA -we_i => fifo.buf[13].ENA -we_i => fifo.buf[14].ENA -we_i => fifo.buf[15].ENA -we_i => fifo.buf[16].ENA -we_i => fifo.buf[17].ENA -free_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE +we_i => fifo.OUTPUTSELECT +we_i => fifo.data[1][3].ENA +we_i => fifo.data[1][2].ENA +we_i => fifo.data[1][1].ENA +we_i => fifo.data[1][0].ENA +we_i => fifo.data[1][4].ENA +we_i => fifo.data[1][5].ENA +we_i => fifo.data[1][6].ENA +we_i => fifo.data[1][7].ENA +we_i => fifo.data[1][8].ENA +we_i => fifo.data[1][9].ENA +we_i => fifo.data[1][10].ENA +we_i => fifo.data[1][11].ENA +we_i => fifo.data[1][12].ENA +we_i => fifo.data[1][13].ENA +we_i => fifo.data[1][14].ENA +we_i => fifo.data[1][15].ENA +we_i => fifo.data[1][16].ENA +we_i => fifo.data[1][17].ENA +we_i => fifo.data[0][0].ENA +we_i => fifo.data[0][1].ENA +we_i => fifo.data[0][2].ENA +we_i => fifo.data[0][3].ENA +we_i => fifo.data[0][4].ENA +we_i => fifo.data[0][5].ENA +we_i => fifo.data[0][6].ENA +we_i => fifo.data[0][7].ENA +we_i => fifo.data[0][8].ENA +we_i => fifo.data[0][9].ENA +we_i => fifo.data[0][10].ENA +we_i => fifo.data[0][11].ENA +we_i => fifo.data[0][12].ENA +we_i => fifo.data[0][13].ENA +we_i => fifo.data[0][14].ENA +we_i => fifo.data[0][15].ENA +we_i => fifo.data[0][16].ENA +we_i => fifo.data[0][17].ENA +free_o <= fifo.full.DB_MAX_OUTPUT_PORT_TYPE re_i => fifo.OUTPUTSELECT -rdata_o[0] <= fifo.buf[0].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[1] <= fifo.buf[1].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[2] <= fifo.buf[2].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[3] <= fifo.buf[3].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[4] <= fifo.buf[4].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[5] <= fifo.buf[5].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[6] <= fifo.buf[6].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[7] <= fifo.buf[7].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[8] <= fifo.buf[8].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[9] <= fifo.buf[9].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[10] <= fifo.buf[10].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[11] <= fifo.buf[11].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[12] <= fifo.buf[12].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[13] <= fifo.buf[13].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[14] <= fifo.buf[14].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[15] <= fifo.buf[15].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[16] <= fifo.buf[16].DB_MAX_OUTPUT_PORT_TYPE -rdata_o[17] <= fifo.buf[17].DB_MAX_OUTPUT_PORT_TYPE +re_i => fifo.OUTPUTSELECT +rdata_o[0] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[1] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[2] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[3] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[4] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[5] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[6] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[7] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[8] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[9] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[10] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[11] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[12] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[13] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[14] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[15] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[16] <= rdata.DB_MAX_OUTPUT_PORT_TYPE +rdata_o[17] <= rdata.DB_MAX_OUTPUT_PORT_TYPE avail_o <= fifo.empty.DB_MAX_OUTPUT_PORT_TYPE +|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst +ci_instr16_i[0] => Mux76.IN5 +ci_instr16_i[0] => Mux77.IN5 +ci_instr16_i[0] => Mux78.IN5 +ci_instr16_i[0] => Mux79.IN5 +ci_instr16_i[0] => Mux80.IN5 +ci_instr16_i[0] => Mux81.IN5 +ci_instr16_i[0] => Mux82.IN5 +ci_instr16_i[0] => Mux83.IN5 +ci_instr16_i[0] => Mux84.IN5 +ci_instr16_i[0] => Mux85.IN5 +ci_instr16_i[0] => Mux86.IN5 +ci_instr16_i[0] => Mux87.IN5 +ci_instr16_i[0] => Mux88.IN5 +ci_instr16_i[0] => Mux89.IN5 +ci_instr16_i[0] => Mux90.IN5 +ci_instr16_i[0] => Mux91.IN5 +ci_instr16_i[0] => Mux92.IN5 +ci_instr16_i[0] => Mux93.IN5 +ci_instr16_i[0] => Mux94.IN5 +ci_instr16_i[0] => Mux95.IN5 +ci_instr16_i[0] => Mux96.IN5 +ci_instr16_i[0] => Mux97.IN5 +ci_instr16_i[0] => Mux98.IN5 +ci_instr16_i[0] => Mux99.IN5 +ci_instr16_i[0] => Mux100.IN5 +ci_instr16_i[0] => Mux101.IN5 +ci_instr16_i[0] => Mux102.IN5 +ci_instr16_i[0] => Mux103.IN5 +ci_instr16_i[0] => Mux104.IN5 +ci_instr16_i[0] => Mux105.IN5 +ci_instr16_i[0] => Mux106.IN5 +ci_instr16_i[1] => Mux76.IN4 +ci_instr16_i[1] => Mux77.IN4 +ci_instr16_i[1] => Mux78.IN4 +ci_instr16_i[1] => Mux79.IN4 +ci_instr16_i[1] => Mux80.IN4 +ci_instr16_i[1] => Mux81.IN4 +ci_instr16_i[1] => Mux82.IN4 +ci_instr16_i[1] => Mux83.IN4 +ci_instr16_i[1] => Mux84.IN4 +ci_instr16_i[1] => Mux85.IN4 +ci_instr16_i[1] => Mux86.IN4 +ci_instr16_i[1] => Mux87.IN4 +ci_instr16_i[1] => Mux88.IN4 +ci_instr16_i[1] => Mux89.IN4 +ci_instr16_i[1] => Mux90.IN4 +ci_instr16_i[1] => Mux91.IN4 +ci_instr16_i[1] => Mux92.IN4 +ci_instr16_i[1] => Mux93.IN4 +ci_instr16_i[1] => Mux94.IN4 +ci_instr16_i[1] => Mux95.IN4 +ci_instr16_i[1] => Mux96.IN4 +ci_instr16_i[1] => Mux97.IN4 +ci_instr16_i[1] => Mux98.IN4 +ci_instr16_i[1] => Mux99.IN4 +ci_instr16_i[1] => Mux100.IN4 +ci_instr16_i[1] => Mux101.IN4 +ci_instr16_i[1] => Mux102.IN4 +ci_instr16_i[1] => Mux103.IN4 +ci_instr16_i[1] => Mux104.IN4 +ci_instr16_i[1] => Mux105.IN4 +ci_instr16_i[1] => Mux106.IN4 +ci_instr16_i[2] => Mux4.IN5 +ci_instr16_i[2] => Mux9.IN3 +ci_instr16_i[2] => Mux9.IN4 +ci_instr16_i[2] => Mux9.IN5 +ci_instr16_i[2] => ci_instr32_o.DATAB +ci_instr16_i[2] => ci_instr32_o.DATAA +ci_instr16_i[2] => Mux28.IN7 +ci_instr16_i[2] => Mux28.IN8 +ci_instr16_i[2] => Mux28.IN9 +ci_instr16_i[2] => Mux28.IN10 +ci_instr16_i[2] => Mux33.IN8 +ci_instr16_i[2] => Mux33.IN9 +ci_instr16_i[2] => Mux33.IN10 +ci_instr16_i[2] => ci_instr32_o.DATAA +ci_instr16_i[2] => ci_instr32_o.DATAA +ci_instr16_i[2] => Mux54.IN5 +ci_instr16_i[2] => Mux59.IN8 +ci_instr16_i[2] => Mux59.IN9 +ci_instr16_i[2] => Mux59.IN10 +ci_instr16_i[2] => Equal3.IN4 +ci_instr16_i[3] => Mux3.IN5 +ci_instr16_i[3] => Mux8.IN3 +ci_instr16_i[3] => Mux8.IN4 +ci_instr16_i[3] => Mux8.IN5 +ci_instr16_i[3] => ci_instr32_o.DATAB +ci_instr16_i[3] => ci_instr32_o.DATAA +ci_instr16_i[3] => Mux32.IN6 +ci_instr16_i[3] => Mux32.IN7 +ci_instr16_i[3] => Mux32.IN8 +ci_instr16_i[3] => Mux32.IN9 +ci_instr16_i[3] => Mux32.IN10 +ci_instr16_i[3] => Mux45.IN9 +ci_instr16_i[3] => Mux45.IN10 +ci_instr16_i[3] => ci_instr32_o.DATAA +ci_instr16_i[3] => Mux53.IN5 +ci_instr16_i[3] => Mux58.IN8 +ci_instr16_i[3] => Mux58.IN9 +ci_instr16_i[3] => Mux58.IN10 +ci_instr16_i[3] => Equal3.IN3 +ci_instr16_i[4] => Mux2.IN5 +ci_instr16_i[4] => Mux7.IN3 +ci_instr16_i[4] => Mux7.IN4 +ci_instr16_i[4] => Mux7.IN5 +ci_instr16_i[4] => ci_instr32_o.DATAB +ci_instr16_i[4] => ci_instr32_o.DATAA +ci_instr16_i[4] => Mux31.IN6 +ci_instr16_i[4] => Mux31.IN7 +ci_instr16_i[4] => Mux31.IN8 +ci_instr16_i[4] => Mux31.IN9 +ci_instr16_i[4] => Mux31.IN10 +ci_instr16_i[4] => Mux44.IN9 +ci_instr16_i[4] => Mux44.IN10 +ci_instr16_i[4] => ci_instr32_o.DATAA +ci_instr16_i[4] => Mux57.IN6 +ci_instr16_i[4] => Mux57.IN7 +ci_instr16_i[4] => Mux57.IN8 +ci_instr16_i[4] => Mux57.IN9 +ci_instr16_i[4] => Mux57.IN10 +ci_instr16_i[4] => Equal3.IN2 +ci_instr16_i[5] => ci_instr32_o.DATAB +ci_instr16_i[5] => Mux1.IN4 +ci_instr16_i[5] => Mux1.IN5 +ci_instr16_i[5] => ci_instr32_o.DATAB +ci_instr16_i[5] => ci_instr32_o.DATAA +ci_instr16_i[5] => Mux11.IN5 +ci_instr16_i[5] => Mux12.IN5 +ci_instr16_i[5] => Mux13.IN5 +ci_instr16_i[5] => Mux17.IN3 +ci_instr16_i[5] => Mux17.IN4 +ci_instr16_i[5] => Mux17.IN5 +ci_instr16_i[5] => Mux27.IN9 +ci_instr16_i[5] => Mux27.IN10 +ci_instr16_i[5] => Mux30.IN7 +ci_instr16_i[5] => Mux30.IN8 +ci_instr16_i[5] => Mux30.IN9 +ci_instr16_i[5] => Mux30.IN10 +ci_instr16_i[5] => ci_instr32_o.DATAA +ci_instr16_i[5] => Mux56.IN6 +ci_instr16_i[5] => Mux56.IN7 +ci_instr16_i[5] => Mux56.IN8 +ci_instr16_i[5] => Mux56.IN9 +ci_instr16_i[5] => Mux56.IN10 +ci_instr16_i[5] => Equal0.IN7 +ci_instr16_i[5] => Equal3.IN1 +ci_instr16_i[6] => Mux2.IN2 +ci_instr16_i[6] => Mux2.IN3 +ci_instr16_i[6] => Mux2.IN4 +ci_instr16_i[6] => Mux7.IN2 +ci_instr16_i[6] => ci_instr32_o.DATAB +ci_instr16_i[6] => ci_instr32_o.DATAA +ci_instr16_i[6] => Mux11.IN4 +ci_instr16_i[6] => Mux12.IN4 +ci_instr16_i[6] => Mux13.IN4 +ci_instr16_i[6] => Mux16.IN3 +ci_instr16_i[6] => Mux16.IN4 +ci_instr16_i[6] => Mux16.IN5 +ci_instr16_i[6] => Mux19.IN5 +ci_instr16_i[6] => Mux26.IN7 +ci_instr16_i[6] => Mux26.IN8 +ci_instr16_i[6] => Mux26.IN9 +ci_instr16_i[6] => Mux26.IN10 +ci_instr16_i[6] => Mux29.IN9 +ci_instr16_i[6] => Mux29.IN10 +ci_instr16_i[6] => ci_instr32_o.DATAA +ci_instr16_i[6] => Mux55.IN6 +ci_instr16_i[6] => Mux55.IN7 +ci_instr16_i[6] => Mux55.IN8 +ci_instr16_i[6] => Mux55.IN9 +ci_instr16_i[6] => Mux55.IN10 +ci_instr16_i[6] => Equal0.IN6 +ci_instr16_i[6] => Equal3.IN0 +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => ci_instr32_o.DATAB +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => Mux27.IN7 +ci_instr16_i[7] => Mux27.IN8 +ci_instr16_i[7] => Mux38.IN7 +ci_instr16_i[7] => Mux38.IN8 +ci_instr16_i[7] => Mux38.IN9 +ci_instr16_i[7] => Mux38.IN10 +ci_instr16_i[7] => Mux46.IN8 +ci_instr16_i[7] => Mux46.IN9 +ci_instr16_i[7] => Mux46.IN10 +ci_instr16_i[7] => ci_instr32_o.DATAB +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => ci_instr32_o.DATAA +ci_instr16_i[7] => Mux54.IN4 +ci_instr16_i[7] => Mux64.IN10 +ci_instr16_i[7] => Mux70.IN8 +ci_instr16_i[7] => Mux70.IN9 +ci_instr16_i[7] => Mux70.IN10 +ci_instr16_i[7] => Equal0.IN5 +ci_instr16_i[7] => Equal2.IN3 +ci_instr16_i[7] => Equal4.IN4 +ci_instr16_i[8] => ci_instr32_o.DATAA +ci_instr16_i[8] => ci_instr32_o.DATAB +ci_instr16_i[8] => ci_instr32_o.DATAA +ci_instr16_i[8] => Mux23.IN9 +ci_instr16_i[8] => Mux23.IN10 +ci_instr16_i[8] => Mux37.IN7 +ci_instr16_i[8] => Mux37.IN8 +ci_instr16_i[8] => Mux37.IN9 +ci_instr16_i[8] => Mux37.IN10 +ci_instr16_i[8] => Mux45.IN6 +ci_instr16_i[8] => Mux45.IN7 +ci_instr16_i[8] => Mux45.IN8 +ci_instr16_i[8] => ci_instr32_o.DATAB +ci_instr16_i[8] => ci_instr32_o.DATAA +ci_instr16_i[8] => ci_instr32_o.DATAA +ci_instr16_i[8] => ci_instr32_o.DATAA +ci_instr16_i[8] => Mux53.IN4 +ci_instr16_i[8] => Mux63.IN10 +ci_instr16_i[8] => Mux69.IN8 +ci_instr16_i[8] => Mux69.IN9 +ci_instr16_i[8] => Mux69.IN10 +ci_instr16_i[8] => Equal0.IN4 +ci_instr16_i[8] => Equal2.IN4 +ci_instr16_i[8] => Equal4.IN3 +ci_instr16_i[9] => ci_instr32_o.DATAA +ci_instr16_i[9] => ci_instr32_o.DATAB +ci_instr16_i[9] => ci_instr32_o.DATAA +ci_instr16_i[9] => Mux25.IN9 +ci_instr16_i[9] => Mux25.IN10 +ci_instr16_i[9] => Mux36.IN7 +ci_instr16_i[9] => Mux36.IN8 +ci_instr16_i[9] => Mux36.IN9 +ci_instr16_i[9] => Mux36.IN10 +ci_instr16_i[9] => Mux44.IN6 +ci_instr16_i[9] => Mux44.IN7 +ci_instr16_i[9] => Mux44.IN8 +ci_instr16_i[9] => ci_instr32_o.DATAB +ci_instr16_i[9] => ci_instr32_o.DATAA +ci_instr16_i[9] => ci_instr32_o.DATAA +ci_instr16_i[9] => ci_instr32_o.DATAA +ci_instr16_i[9] => Mux62.IN10 +ci_instr16_i[9] => Mux68.IN6 +ci_instr16_i[9] => Mux68.IN7 +ci_instr16_i[9] => Mux68.IN8 +ci_instr16_i[9] => Mux68.IN9 +ci_instr16_i[9] => Mux68.IN10 +ci_instr16_i[9] => Equal0.IN3 +ci_instr16_i[9] => Equal2.IN2 +ci_instr16_i[9] => Equal4.IN2 +ci_instr16_i[10] => ci_instr32_o.DATAA +ci_instr16_i[10] => Mux1.IN3 +ci_instr16_i[10] => Mux6.IN5 +ci_instr16_i[10] => ci_instr32_o.DATAA +ci_instr16_i[10] => Mux14.IN5 +ci_instr16_i[10] => Mux15.IN3 +ci_instr16_i[10] => Mux15.IN4 +ci_instr16_i[10] => Mux15.IN5 +ci_instr16_i[10] => Mux16.IN2 +ci_instr16_i[10] => Mux17.IN2 +ci_instr16_i[10] => Mux18.IN5 +ci_instr16_i[10] => Mux19.IN4 +ci_instr16_i[10] => Mux20.IN5 +ci_instr16_i[10] => Mux21.IN5 +ci_instr16_i[10] => Mux24.IN9 +ci_instr16_i[10] => Mux24.IN10 +ci_instr16_i[10] => Mux35.IN10 +ci_instr16_i[10] => Mux43.IN7 +ci_instr16_i[10] => Mux43.IN8 +ci_instr16_i[10] => Mux43.IN9 +ci_instr16_i[10] => Mux43.IN10 +ci_instr16_i[10] => ci_instr32_o.DATAB +ci_instr16_i[10] => ci_instr32_o.DATAA +ci_instr16_i[10] => ci_instr32_o.DATAA +ci_instr16_i[10] => ci_instr32_o.DATAA +ci_instr16_i[10] => Mux61.IN10 +ci_instr16_i[10] => Mux67.IN6 +ci_instr16_i[10] => Mux67.IN7 +ci_instr16_i[10] => Mux67.IN8 +ci_instr16_i[10] => Mux67.IN9 +ci_instr16_i[10] => Mux67.IN10 +ci_instr16_i[10] => Equal0.IN2 +ci_instr16_i[10] => Equal2.IN1 +ci_instr16_i[10] => Equal4.IN1 +ci_instr16_i[11] => Mux0.IN3 +ci_instr16_i[11] => Mux0.IN4 +ci_instr16_i[11] => Mux0.IN5 +ci_instr16_i[11] => Mux5.IN5 +ci_instr16_i[11] => ci_instr32_o.DATAA +ci_instr16_i[11] => Mux14.IN4 +ci_instr16_i[11] => Mux15.IN2 +ci_instr16_i[11] => Mux16.IN1 +ci_instr16_i[11] => Mux17.IN1 +ci_instr16_i[11] => Mux18.IN4 +ci_instr16_i[11] => Mux19.IN3 +ci_instr16_i[11] => Mux20.IN4 +ci_instr16_i[11] => Mux21.IN4 +ci_instr16_i[11] => ci_illegal_o.OUTPUTSELECT +ci_instr16_i[11] => Mux29.IN7 +ci_instr16_i[11] => Mux29.IN8 +ci_instr16_i[11] => Mux34.IN10 +ci_instr16_i[11] => Mux42.IN7 +ci_instr16_i[11] => Mux42.IN8 +ci_instr16_i[11] => Mux42.IN9 +ci_instr16_i[11] => Mux42.IN10 +ci_instr16_i[11] => ci_instr32_o.DATAB +ci_instr16_i[11] => ci_instr32_o.DATAA +ci_instr16_i[11] => ci_instr32_o.DATAA +ci_instr16_i[11] => ci_instr32_o.DATAA +ci_instr16_i[11] => Mux60.IN10 +ci_instr16_i[11] => Mux66.IN6 +ci_instr16_i[11] => Mux66.IN7 +ci_instr16_i[11] => Mux66.IN8 +ci_instr16_i[11] => Mux66.IN9 +ci_instr16_i[11] => Mux66.IN10 +ci_instr16_i[11] => Equal0.IN1 +ci_instr16_i[11] => Equal2.IN0 +ci_instr16_i[11] => Equal4.IN0 +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => ci_instr32_o.DATAA +ci_instr16_i[12] => Mux14.IN3 +ci_instr16_i[12] => Mux15.IN1 +ci_instr16_i[12] => ci_illegal_o.DATAA +ci_instr16_i[12] => Mux22.IN4 +ci_instr16_i[12] => Mux22.IN5 +ci_instr16_i[12] => Mux22.IN6 +ci_instr16_i[12] => Mux22.IN7 +ci_instr16_i[12] => Mux22.IN8 +ci_instr16_i[12] => Mux22.IN9 +ci_instr16_i[12] => Mux22.IN10 +ci_instr16_i[12] => Mux23.IN4 +ci_instr16_i[12] => Mux23.IN5 +ci_instr16_i[12] => Mux23.IN6 +ci_instr16_i[12] => Mux23.IN7 +ci_instr16_i[12] => Mux23.IN8 +ci_instr16_i[12] => Mux24.IN4 +ci_instr16_i[12] => Mux24.IN5 +ci_instr16_i[12] => Mux24.IN6 +ci_instr16_i[12] => Mux24.IN7 +ci_instr16_i[12] => Mux24.IN8 +ci_instr16_i[12] => Mux25.IN5 +ci_instr16_i[12] => Mux25.IN6 +ci_instr16_i[12] => Mux25.IN7 +ci_instr16_i[12] => Mux25.IN8 +ci_instr16_i[12] => Mux26.IN5 +ci_instr16_i[12] => Mux26.IN6 +ci_instr16_i[12] => Mux27.IN5 +ci_instr16_i[12] => Mux27.IN6 +ci_instr16_i[12] => Mux28.IN5 +ci_instr16_i[12] => Mux28.IN6 +ci_instr16_i[12] => Mux33.IN6 +ci_instr16_i[12] => Mux33.IN7 +ci_instr16_i[12] => Mux34.IN8 +ci_instr16_i[12] => Mux34.IN9 +ci_instr16_i[12] => Mux35.IN8 +ci_instr16_i[12] => Mux35.IN9 +ci_instr16_i[12] => Mux36.IN5 +ci_instr16_i[12] => Mux36.IN6 +ci_instr16_i[12] => Mux37.IN5 +ci_instr16_i[12] => Mux37.IN6 +ci_instr16_i[12] => Mux38.IN5 +ci_instr16_i[12] => Mux38.IN6 +ci_instr16_i[12] => Mux39.IN9 +ci_instr16_i[12] => Mux39.IN10 +ci_instr16_i[12] => Mux40.IN9 +ci_instr16_i[12] => Mux40.IN10 +ci_instr16_i[12] => Mux41.IN9 +ci_instr16_i[12] => Mux41.IN10 +ci_instr16_i[12] => Mux46.IN6 +ci_instr16_i[12] => Mux46.IN7 +ci_instr16_i[12] => ci_instr32_o.DATAB +ci_instr16_i[12] => Mux75.IN10 +ci_instr16_i[12] => Mux82.IN3 +ci_instr16_i[12] => Equal0.IN0 +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[12] => decompressor.IN1 +ci_instr16_i[13] => ci_illegal_o.DATAB +ci_instr16_i[13] => Mux22.IN3 +ci_instr16_i[13] => Mux23.IN3 +ci_instr16_i[13] => Mux24.IN3 +ci_instr16_i[13] => Mux25.IN4 +ci_instr16_i[13] => Mux26.IN4 +ci_instr16_i[13] => Mux27.IN4 +ci_instr16_i[13] => Mux28.IN4 +ci_instr16_i[13] => Mux29.IN6 +ci_instr16_i[13] => Mux30.IN6 +ci_instr16_i[13] => Mux31.IN5 +ci_instr16_i[13] => Mux32.IN5 +ci_instr16_i[13] => Mux33.IN5 +ci_instr16_i[13] => Mux34.IN7 +ci_instr16_i[13] => Mux35.IN7 +ci_instr16_i[13] => Mux36.IN4 +ci_instr16_i[13] => Mux37.IN4 +ci_instr16_i[13] => Mux38.IN4 +ci_instr16_i[13] => Mux39.IN8 +ci_instr16_i[13] => Mux40.IN8 +ci_instr16_i[13] => Mux41.IN6 +ci_instr16_i[13] => Mux41.IN7 +ci_instr16_i[13] => Mux41.IN8 +ci_instr16_i[13] => Mux42.IN6 +ci_instr16_i[13] => Mux43.IN6 +ci_instr16_i[13] => Mux44.IN5 +ci_instr16_i[13] => Mux45.IN5 +ci_instr16_i[13] => Mux46.IN5 +ci_instr16_i[13] => Mux47.IN10 +ci_instr16_i[13] => Mux48.IN10 +ci_instr16_i[13] => Mux49.IN10 +ci_instr16_i[13] => Mux50.IN5 +ci_instr16_i[13] => Mux51.IN10 +ci_instr16_i[13] => Mux52.IN10 +ci_instr16_i[13] => Mux55.IN5 +ci_instr16_i[13] => Mux56.IN5 +ci_instr16_i[13] => Mux57.IN5 +ci_instr16_i[13] => Mux58.IN7 +ci_instr16_i[13] => Mux59.IN7 +ci_instr16_i[13] => Mux60.IN9 +ci_instr16_i[13] => Mux61.IN9 +ci_instr16_i[13] => Mux62.IN9 +ci_instr16_i[13] => Mux63.IN9 +ci_instr16_i[13] => Mux64.IN9 +ci_instr16_i[13] => Mux65.IN10 +ci_instr16_i[13] => Mux66.IN5 +ci_instr16_i[13] => Mux67.IN5 +ci_instr16_i[13] => Mux68.IN5 +ci_instr16_i[13] => Mux69.IN7 +ci_instr16_i[13] => Mux70.IN7 +ci_instr16_i[13] => Mux71.IN10 +ci_instr16_i[13] => Mux72.IN10 +ci_instr16_i[13] => Mux73.IN10 +ci_instr16_i[13] => Mux74.IN10 +ci_instr16_i[13] => Mux75.IN5 +ci_instr16_i[13] => Mux75.IN6 +ci_instr16_i[13] => Mux75.IN7 +ci_instr16_i[13] => Mux75.IN8 +ci_instr16_i[13] => Mux75.IN9 +ci_instr16_i[13] => Equal1.IN1 +ci_instr16_i[13] => Equal5.IN2 +ci_instr16_i[13] => Equal6.IN2 +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => Mux0.IN2 +ci_instr16_i[14] => Mux1.IN2 +ci_instr16_i[14] => Mux2.IN1 +ci_instr16_i[14] => Mux3.IN4 +ci_instr16_i[14] => Mux4.IN4 +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => Mux5.IN4 +ci_instr16_i[14] => Mux6.IN4 +ci_instr16_i[14] => Mux7.IN1 +ci_instr16_i[14] => Mux8.IN2 +ci_instr16_i[14] => Mux9.IN2 +ci_instr16_i[14] => Mux10.IN5 +ci_instr16_i[14] => ci_illegal_o.OUTPUTSELECT +ci_instr16_i[14] => Mux22.IN2 +ci_instr16_i[14] => Mux23.IN2 +ci_instr16_i[14] => Mux24.IN2 +ci_instr16_i[14] => Mux25.IN3 +ci_instr16_i[14] => Mux26.IN3 +ci_instr16_i[14] => Mux27.IN3 +ci_instr16_i[14] => Mux28.IN3 +ci_instr16_i[14] => Mux29.IN5 +ci_instr16_i[14] => Mux30.IN5 +ci_instr16_i[14] => Mux31.IN4 +ci_instr16_i[14] => Mux32.IN4 +ci_instr16_i[14] => Mux33.IN4 +ci_instr16_i[14] => Mux34.IN6 +ci_instr16_i[14] => Mux35.IN6 +ci_instr16_i[14] => Mux36.IN3 +ci_instr16_i[14] => Mux37.IN3 +ci_instr16_i[14] => Mux38.IN3 +ci_instr16_i[14] => Mux39.IN7 +ci_instr16_i[14] => Mux40.IN7 +ci_instr16_i[14] => Mux41.IN5 +ci_instr16_i[14] => Mux42.IN5 +ci_instr16_i[14] => Mux43.IN5 +ci_instr16_i[14] => Mux44.IN4 +ci_instr16_i[14] => Mux45.IN4 +ci_instr16_i[14] => Mux46.IN4 +ci_instr16_i[14] => Mux47.IN9 +ci_instr16_i[14] => Mux48.IN9 +ci_instr16_i[14] => Mux49.IN9 +ci_instr16_i[14] => Mux50.IN4 +ci_instr16_i[14] => Mux51.IN9 +ci_instr16_i[14] => Mux52.IN9 +ci_instr16_i[14] => Mux53.IN3 +ci_instr16_i[14] => Mux54.IN3 +ci_instr16_i[14] => ci_instr32_o.OUTPUTSELECT +ci_instr16_i[14] => Mux55.IN4 +ci_instr16_i[14] => Mux56.IN4 +ci_instr16_i[14] => Mux57.IN4 +ci_instr16_i[14] => Mux58.IN6 +ci_instr16_i[14] => Mux59.IN6 +ci_instr16_i[14] => Mux60.IN8 +ci_instr16_i[14] => Mux61.IN8 +ci_instr16_i[14] => Mux62.IN8 +ci_instr16_i[14] => Mux63.IN8 +ci_instr16_i[14] => Mux64.IN8 +ci_instr16_i[14] => Mux65.IN9 +ci_instr16_i[14] => Mux66.IN4 +ci_instr16_i[14] => Mux67.IN4 +ci_instr16_i[14] => Mux68.IN4 +ci_instr16_i[14] => Mux69.IN6 +ci_instr16_i[14] => Mux70.IN6 +ci_instr16_i[14] => Mux71.IN9 +ci_instr16_i[14] => Mux72.IN9 +ci_instr16_i[14] => Mux73.IN9 +ci_instr16_i[14] => Mux74.IN9 +ci_instr16_i[14] => Mux75.IN4 +ci_instr16_i[14] => Mux89.IN3 +ci_instr16_i[14] => Mux94.IN1 +ci_instr16_i[14] => Mux94.IN2 +ci_instr16_i[14] => Mux94.IN3 +ci_instr16_i[14] => Equal1.IN0 +ci_instr16_i[14] => Mux103.IN0 +ci_instr16_i[14] => Equal5.IN1 +ci_instr16_i[14] => Equal6.IN0 +ci_instr16_i[15] => Mux0.IN1 +ci_instr16_i[15] => Mux1.IN1 +ci_instr16_i[15] => Mux2.IN0 +ci_instr16_i[15] => Mux3.IN3 +ci_instr16_i[15] => Mux4.IN3 +ci_instr16_i[15] => Mux5.IN3 +ci_instr16_i[15] => Mux6.IN3 +ci_instr16_i[15] => Mux7.IN0 +ci_instr16_i[15] => Mux8.IN1 +ci_instr16_i[15] => Mux9.IN1 +ci_instr16_i[15] => Mux10.IN4 +ci_instr16_i[15] => Mux22.IN1 +ci_instr16_i[15] => Mux23.IN1 +ci_instr16_i[15] => Mux24.IN1 +ci_instr16_i[15] => Mux25.IN2 +ci_instr16_i[15] => Mux26.IN2 +ci_instr16_i[15] => Mux27.IN2 +ci_instr16_i[15] => Mux28.IN2 +ci_instr16_i[15] => Mux29.IN4 +ci_instr16_i[15] => Mux30.IN4 +ci_instr16_i[15] => Mux31.IN3 +ci_instr16_i[15] => Mux32.IN3 +ci_instr16_i[15] => Mux33.IN3 +ci_instr16_i[15] => Mux34.IN5 +ci_instr16_i[15] => Mux35.IN5 +ci_instr16_i[15] => Mux36.IN2 +ci_instr16_i[15] => Mux37.IN2 +ci_instr16_i[15] => Mux38.IN2 +ci_instr16_i[15] => Mux39.IN6 +ci_instr16_i[15] => Mux40.IN6 +ci_instr16_i[15] => Mux41.IN4 +ci_instr16_i[15] => Mux42.IN4 +ci_instr16_i[15] => Mux43.IN4 +ci_instr16_i[15] => Mux44.IN3 +ci_instr16_i[15] => Mux45.IN3 +ci_instr16_i[15] => Mux46.IN3 +ci_instr16_i[15] => Mux47.IN8 +ci_instr16_i[15] => Mux48.IN8 +ci_instr16_i[15] => Mux49.IN8 +ci_instr16_i[15] => Mux51.IN8 +ci_instr16_i[15] => Mux52.IN8 +ci_instr16_i[15] => Mux53.IN2 +ci_instr16_i[15] => Mux54.IN2 +ci_instr16_i[15] => Mux55.IN3 +ci_instr16_i[15] => Mux56.IN3 +ci_instr16_i[15] => Mux57.IN3 +ci_instr16_i[15] => Mux58.IN5 +ci_instr16_i[15] => Mux59.IN5 +ci_instr16_i[15] => Mux60.IN7 +ci_instr16_i[15] => Mux61.IN7 +ci_instr16_i[15] => Mux62.IN7 +ci_instr16_i[15] => Mux63.IN7 +ci_instr16_i[15] => Mux64.IN7 +ci_instr16_i[15] => Mux65.IN8 +ci_instr16_i[15] => Mux66.IN3 +ci_instr16_i[15] => Mux67.IN3 +ci_instr16_i[15] => Mux68.IN3 +ci_instr16_i[15] => Mux69.IN5 +ci_instr16_i[15] => Mux70.IN5 +ci_instr16_i[15] => Mux71.IN8 +ci_instr16_i[15] => Mux72.IN8 +ci_instr16_i[15] => Mux73.IN8 +ci_instr16_i[15] => Mux74.IN8 +ci_instr16_i[15] => Mux75.IN3 +ci_instr16_i[15] => Equal1.IN2 +ci_instr16_i[15] => Mux46.IN0 +ci_instr16_i[15] => Mux46.IN1 +ci_instr16_i[15] => Equal5.IN0 +ci_instr16_i[15] => Equal6.IN1 +ci_illegal_o <= Mux106.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[0] <= +ci_instr32_o[1] <= +ci_instr32_o[2] <= Mux105.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[3] <= Mux104.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[4] <= Mux103.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[5] <= Mux102.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[6] <= Mux101.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[7] <= Mux100.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[8] <= Mux99.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[9] <= Mux98.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[10] <= Mux97.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[11] <= Mux96.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[12] <= Mux95.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[13] <= Mux94.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[14] <= Mux93.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[15] <= Mux92.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[16] <= Mux91.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[17] <= Mux90.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[18] <= Mux89.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[19] <= Mux88.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[20] <= Mux87.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[21] <= Mux86.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[22] <= Mux85.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[23] <= Mux84.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[24] <= Mux83.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[25] <= Mux82.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[26] <= Mux81.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[27] <= Mux80.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[28] <= Mux79.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[29] <= Mux78.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[30] <= Mux77.DB_MAX_OUTPUT_PORT_TYPE +ci_instr32_o[31] <= Mux76.DB_MAX_OUTPUT_PORT_TYPE + + |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst clk_i => rs4_o[0]~reg0.CLK clk_i => rs4_o[1]~reg0.CLK @@ -4271,153 +5636,152 @@ clk_i => reg_file[31][30].CLK clk_i => reg_file[31][31].CLK clk_i => altsyncram:reg_file[0][31]__1.clock0 clk_i => altsyncram:reg_file[0][31]__2.clock0 -ctrl_i.cpu_debug => ~NO_FANOUT~ -ctrl_i.cpu_trap => ~NO_FANOUT~ -ctrl_i.cpu_sleep => ~NO_FANOUT~ -ctrl_i.cpu_priv => ~NO_FANOUT~ -ctrl_i.ir_opcode[0] => ~NO_FANOUT~ -ctrl_i.ir_opcode[1] => ~NO_FANOUT~ -ctrl_i.ir_opcode[2] => ~NO_FANOUT~ -ctrl_i.ir_opcode[3] => ~NO_FANOUT~ -ctrl_i.ir_opcode[4] => ~NO_FANOUT~ -ctrl_i.ir_opcode[5] => ~NO_FANOUT~ -ctrl_i.ir_opcode[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[0] => ~NO_FANOUT~ -ctrl_i.ir_funct12[1] => ~NO_FANOUT~ -ctrl_i.ir_funct12[2] => ~NO_FANOUT~ -ctrl_i.ir_funct12[3] => ~NO_FANOUT~ -ctrl_i.ir_funct12[4] => ~NO_FANOUT~ -ctrl_i.ir_funct12[5] => ~NO_FANOUT~ -ctrl_i.ir_funct12[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[7] => ~NO_FANOUT~ -ctrl_i.ir_funct12[8] => ~NO_FANOUT~ -ctrl_i.ir_funct12[9] => ~NO_FANOUT~ -ctrl_i.ir_funct12[10] => ~NO_FANOUT~ -ctrl_i.ir_funct12[11] => ~NO_FANOUT~ -ctrl_i.ir_funct3[0] => ~NO_FANOUT~ -ctrl_i.ir_funct3[1] => ~NO_FANOUT~ -ctrl_i.ir_funct3[2] => ~NO_FANOUT~ -ctrl_i.bus_priv => ~NO_FANOUT~ -ctrl_i.bus_fencei => ~NO_FANOUT~ -ctrl_i.bus_fence => ~NO_FANOUT~ -ctrl_i.bus_mo_we => ~NO_FANOUT~ -ctrl_i.bus_req => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[0] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[1] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[2] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[3] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[4] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[5] => ~NO_FANOUT~ -ctrl_i.alu_frm[0] => ~NO_FANOUT~ -ctrl_i.alu_frm[1] => ~NO_FANOUT~ -ctrl_i.alu_frm[2] => ~NO_FANOUT~ -ctrl_i.alu_unsigned => ~NO_FANOUT~ -ctrl_i.alu_opb_mux => ~NO_FANOUT~ -ctrl_i.alu_opa_mux => ~NO_FANOUT~ -ctrl_i.alu_op[0] => ~NO_FANOUT~ -ctrl_i.alu_op[1] => ~NO_FANOUT~ -ctrl_i.alu_op[2] => ~NO_FANOUT~ -ctrl_i.rf_zero_we => opa_addr[4].OUTPUTSELECT -ctrl_i.rf_zero_we => opa_addr[3].OUTPUTSELECT -ctrl_i.rf_zero_we => opa_addr[2].OUTPUTSELECT -ctrl_i.rf_zero_we => opa_addr[1].OUTPUTSELECT -ctrl_i.rf_zero_we => opa_addr[0].OUTPUTSELECT -ctrl_i.rf_zero_we => rf_we.IN1 -ctrl_i.rf_mux[0] => Mux0.IN1 -ctrl_i.rf_mux[0] => Mux1.IN1 -ctrl_i.rf_mux[0] => Mux2.IN1 -ctrl_i.rf_mux[0] => Mux3.IN1 -ctrl_i.rf_mux[0] => Mux4.IN1 -ctrl_i.rf_mux[0] => Mux5.IN1 -ctrl_i.rf_mux[0] => Mux6.IN1 -ctrl_i.rf_mux[0] => Mux7.IN1 -ctrl_i.rf_mux[0] => Mux8.IN1 -ctrl_i.rf_mux[0] => Mux9.IN1 -ctrl_i.rf_mux[0] => Mux10.IN1 -ctrl_i.rf_mux[0] => Mux11.IN1 -ctrl_i.rf_mux[0] => Mux12.IN1 -ctrl_i.rf_mux[0] => Mux13.IN1 -ctrl_i.rf_mux[0] => Mux14.IN1 -ctrl_i.rf_mux[0] => Mux15.IN1 -ctrl_i.rf_mux[0] => Mux16.IN1 -ctrl_i.rf_mux[0] => Mux17.IN1 -ctrl_i.rf_mux[0] => Mux18.IN1 -ctrl_i.rf_mux[0] => Mux19.IN1 -ctrl_i.rf_mux[0] => Mux20.IN1 -ctrl_i.rf_mux[0] => Mux21.IN1 -ctrl_i.rf_mux[0] => Mux22.IN1 -ctrl_i.rf_mux[0] => Mux23.IN1 -ctrl_i.rf_mux[0] => Mux24.IN1 -ctrl_i.rf_mux[0] => Mux25.IN1 -ctrl_i.rf_mux[0] => Mux26.IN1 -ctrl_i.rf_mux[0] => Mux27.IN1 -ctrl_i.rf_mux[0] => Mux28.IN1 -ctrl_i.rf_mux[0] => Mux29.IN1 -ctrl_i.rf_mux[0] => Mux30.IN1 -ctrl_i.rf_mux[0] => Mux31.IN1 -ctrl_i.rf_mux[1] => Mux0.IN0 -ctrl_i.rf_mux[1] => Mux1.IN0 -ctrl_i.rf_mux[1] => Mux2.IN0 -ctrl_i.rf_mux[1] => Mux3.IN0 -ctrl_i.rf_mux[1] => Mux4.IN0 -ctrl_i.rf_mux[1] => Mux5.IN0 -ctrl_i.rf_mux[1] => Mux6.IN0 -ctrl_i.rf_mux[1] => Mux7.IN0 -ctrl_i.rf_mux[1] => Mux8.IN0 -ctrl_i.rf_mux[1] => Mux9.IN0 -ctrl_i.rf_mux[1] => Mux10.IN0 -ctrl_i.rf_mux[1] => Mux11.IN0 -ctrl_i.rf_mux[1] => Mux12.IN0 -ctrl_i.rf_mux[1] => Mux13.IN0 -ctrl_i.rf_mux[1] => Mux14.IN0 -ctrl_i.rf_mux[1] => Mux15.IN0 -ctrl_i.rf_mux[1] => Mux16.IN0 -ctrl_i.rf_mux[1] => Mux17.IN0 -ctrl_i.rf_mux[1] => Mux18.IN0 -ctrl_i.rf_mux[1] => Mux19.IN0 -ctrl_i.rf_mux[1] => Mux20.IN0 -ctrl_i.rf_mux[1] => Mux21.IN0 -ctrl_i.rf_mux[1] => Mux22.IN0 -ctrl_i.rf_mux[1] => Mux23.IN0 -ctrl_i.rf_mux[1] => Mux24.IN0 -ctrl_i.rf_mux[1] => Mux25.IN0 -ctrl_i.rf_mux[1] => Mux26.IN0 -ctrl_i.rf_mux[1] => Mux27.IN0 -ctrl_i.rf_mux[1] => Mux28.IN0 -ctrl_i.rf_mux[1] => Mux29.IN0 -ctrl_i.rf_mux[1] => Mux30.IN0 -ctrl_i.rf_mux[1] => Mux31.IN0 -ctrl_i.rf_rd[0] => opa_addr.DATAB -ctrl_i.rf_rd[0] => Equal0.IN4 -ctrl_i.rf_rd[1] => opa_addr.DATAB -ctrl_i.rf_rd[1] => Equal0.IN3 -ctrl_i.rf_rd[2] => opa_addr.DATAB -ctrl_i.rf_rd[2] => Equal0.IN2 -ctrl_i.rf_rd[3] => opa_addr.DATAB -ctrl_i.rf_rd[3] => Equal0.IN1 -ctrl_i.rf_rd[4] => opa_addr.DATAB -ctrl_i.rf_rd[4] => Equal0.IN0 -ctrl_i.rf_rs3[0] => ~NO_FANOUT~ -ctrl_i.rf_rs3[1] => ~NO_FANOUT~ -ctrl_i.rf_rs3[2] => ~NO_FANOUT~ -ctrl_i.rf_rs3[3] => ~NO_FANOUT~ -ctrl_i.rf_rs3[4] => ~NO_FANOUT~ -ctrl_i.rf_rs2[0] => altsyncram:reg_file[0][31]__2.address_b[0] -ctrl_i.rf_rs2[1] => altsyncram:reg_file[0][31]__2.address_b[1] -ctrl_i.rf_rs2[2] => altsyncram:reg_file[0][31]__2.address_b[2] -ctrl_i.rf_rs2[3] => altsyncram:reg_file[0][31]__2.address_b[3] -ctrl_i.rf_rs2[4] => altsyncram:reg_file[0][31]__2.address_b[4] -ctrl_i.rf_rs1[0] => opa_addr.DATAA -ctrl_i.rf_rs1[1] => opa_addr.DATAA -ctrl_i.rf_rs1[2] => opa_addr.DATAA -ctrl_i.rf_rs1[3] => opa_addr.DATAA -ctrl_i.rf_rs1[4] => opa_addr.DATAA -ctrl_i.rf_wb_en => opa_addr.OUTPUTSELECT -ctrl_i.rf_wb_en => opa_addr.OUTPUTSELECT -ctrl_i.rf_wb_en => opa_addr.OUTPUTSELECT -ctrl_i.rf_wb_en => opa_addr.OUTPUTSELECT -ctrl_i.rf_wb_en => opa_addr.OUTPUTSELECT -ctrl_i.rf_wb_en => rf_we.IN1 +ctrl_i[0] => opa_addr.OUTPUTSELECT +ctrl_i[0] => opa_addr.OUTPUTSELECT +ctrl_i[0] => opa_addr.OUTPUTSELECT +ctrl_i[0] => opa_addr.OUTPUTSELECT +ctrl_i[0] => opa_addr.OUTPUTSELECT +ctrl_i[0] => rf_we.IN1 +ctrl_i[1] => opa_addr.DATAA +ctrl_i[2] => opa_addr.DATAA +ctrl_i[3] => opa_addr.DATAA +ctrl_i[4] => opa_addr.DATAA +ctrl_i[5] => opa_addr.DATAA +ctrl_i[6] => altsyncram:reg_file[0][31]__2.address_b[0] +ctrl_i[7] => altsyncram:reg_file[0][31]__2.address_b[1] +ctrl_i[8] => altsyncram:reg_file[0][31]__2.address_b[2] +ctrl_i[9] => altsyncram:reg_file[0][31]__2.address_b[3] +ctrl_i[10] => altsyncram:reg_file[0][31]__2.address_b[4] +ctrl_i[11] => ~NO_FANOUT~ +ctrl_i[12] => ~NO_FANOUT~ +ctrl_i[13] => ~NO_FANOUT~ +ctrl_i[14] => ~NO_FANOUT~ +ctrl_i[15] => ~NO_FANOUT~ +ctrl_i[16] => opa_addr.DATAB +ctrl_i[16] => Equal0.IN4 +ctrl_i[17] => opa_addr.DATAB +ctrl_i[17] => Equal0.IN3 +ctrl_i[18] => opa_addr.DATAB +ctrl_i[18] => Equal0.IN2 +ctrl_i[19] => opa_addr.DATAB +ctrl_i[19] => Equal0.IN1 +ctrl_i[20] => opa_addr.DATAB +ctrl_i[20] => Equal0.IN0 +ctrl_i[21] => Mux0.IN1 +ctrl_i[21] => Mux1.IN1 +ctrl_i[21] => Mux2.IN1 +ctrl_i[21] => Mux3.IN1 +ctrl_i[21] => Mux4.IN1 +ctrl_i[21] => Mux5.IN1 +ctrl_i[21] => Mux6.IN1 +ctrl_i[21] => Mux7.IN1 +ctrl_i[21] => Mux8.IN1 +ctrl_i[21] => Mux9.IN1 +ctrl_i[21] => Mux10.IN1 +ctrl_i[21] => Mux11.IN1 +ctrl_i[21] => Mux12.IN1 +ctrl_i[21] => Mux13.IN1 +ctrl_i[21] => Mux14.IN1 +ctrl_i[21] => Mux15.IN1 +ctrl_i[21] => Mux16.IN1 +ctrl_i[21] => Mux17.IN1 +ctrl_i[21] => Mux18.IN1 +ctrl_i[21] => Mux19.IN1 +ctrl_i[21] => Mux20.IN1 +ctrl_i[21] => Mux21.IN1 +ctrl_i[21] => Mux22.IN1 +ctrl_i[21] => Mux23.IN1 +ctrl_i[21] => Mux24.IN1 +ctrl_i[21] => Mux25.IN1 +ctrl_i[21] => Mux26.IN1 +ctrl_i[21] => Mux27.IN1 +ctrl_i[21] => Mux28.IN1 +ctrl_i[21] => Mux29.IN1 +ctrl_i[21] => Mux30.IN1 +ctrl_i[21] => Mux31.IN1 +ctrl_i[22] => Mux0.IN0 +ctrl_i[22] => Mux1.IN0 +ctrl_i[22] => Mux2.IN0 +ctrl_i[22] => Mux3.IN0 +ctrl_i[22] => Mux4.IN0 +ctrl_i[22] => Mux5.IN0 +ctrl_i[22] => Mux6.IN0 +ctrl_i[22] => Mux7.IN0 +ctrl_i[22] => Mux8.IN0 +ctrl_i[22] => Mux9.IN0 +ctrl_i[22] => Mux10.IN0 +ctrl_i[22] => Mux11.IN0 +ctrl_i[22] => Mux12.IN0 +ctrl_i[22] => Mux13.IN0 +ctrl_i[22] => Mux14.IN0 +ctrl_i[22] => Mux15.IN0 +ctrl_i[22] => Mux16.IN0 +ctrl_i[22] => Mux17.IN0 +ctrl_i[22] => Mux18.IN0 +ctrl_i[22] => Mux19.IN0 +ctrl_i[22] => Mux20.IN0 +ctrl_i[22] => Mux21.IN0 +ctrl_i[22] => Mux22.IN0 +ctrl_i[22] => Mux23.IN0 +ctrl_i[22] => Mux24.IN0 +ctrl_i[22] => Mux25.IN0 +ctrl_i[22] => Mux26.IN0 +ctrl_i[22] => Mux27.IN0 +ctrl_i[22] => Mux28.IN0 +ctrl_i[22] => Mux29.IN0 +ctrl_i[22] => Mux30.IN0 +ctrl_i[22] => Mux31.IN0 +ctrl_i[23] => opa_addr[4].OUTPUTSELECT +ctrl_i[23] => opa_addr[3].OUTPUTSELECT +ctrl_i[23] => opa_addr[2].OUTPUTSELECT +ctrl_i[23] => opa_addr[1].OUTPUTSELECT +ctrl_i[23] => opa_addr[0].OUTPUTSELECT +ctrl_i[23] => rf_we.IN1 +ctrl_i[24] => ~NO_FANOUT~ +ctrl_i[25] => ~NO_FANOUT~ +ctrl_i[26] => ~NO_FANOUT~ +ctrl_i[27] => ~NO_FANOUT~ +ctrl_i[28] => ~NO_FANOUT~ +ctrl_i[29] => ~NO_FANOUT~ +ctrl_i[30] => ~NO_FANOUT~ +ctrl_i[31] => ~NO_FANOUT~ +ctrl_i[32] => ~NO_FANOUT~ +ctrl_i[33] => ~NO_FANOUT~ +ctrl_i[34] => ~NO_FANOUT~ +ctrl_i[35] => ~NO_FANOUT~ +ctrl_i[36] => ~NO_FANOUT~ +ctrl_i[37] => ~NO_FANOUT~ +ctrl_i[38] => ~NO_FANOUT~ +ctrl_i[39] => ~NO_FANOUT~ +ctrl_i[40] => ~NO_FANOUT~ +ctrl_i[41] => ~NO_FANOUT~ +ctrl_i[42] => ~NO_FANOUT~ +ctrl_i[43] => ~NO_FANOUT~ +ctrl_i[44] => ~NO_FANOUT~ +ctrl_i[45] => ~NO_FANOUT~ +ctrl_i[46] => ~NO_FANOUT~ +ctrl_i[47] => ~NO_FANOUT~ +ctrl_i[48] => ~NO_FANOUT~ +ctrl_i[49] => ~NO_FANOUT~ +ctrl_i[50] => ~NO_FANOUT~ +ctrl_i[51] => ~NO_FANOUT~ +ctrl_i[52] => ~NO_FANOUT~ +ctrl_i[53] => ~NO_FANOUT~ +ctrl_i[54] => ~NO_FANOUT~ +ctrl_i[55] => ~NO_FANOUT~ +ctrl_i[56] => ~NO_FANOUT~ +ctrl_i[57] => ~NO_FANOUT~ +ctrl_i[58] => ~NO_FANOUT~ +ctrl_i[59] => ~NO_FANOUT~ +ctrl_i[60] => ~NO_FANOUT~ +ctrl_i[61] => ~NO_FANOUT~ +ctrl_i[62] => ~NO_FANOUT~ +ctrl_i[63] => ~NO_FANOUT~ +ctrl_i[64] => ~NO_FANOUT~ +ctrl_i[65] => ~NO_FANOUT~ +ctrl_i[66] => ~NO_FANOUT~ +ctrl_i[67] => ~NO_FANOUT~ +ctrl_i[68] => ~NO_FANOUT~ alu_i[0] => Mux31.IN2 alu_i[1] => Mux30.IN2 alu_i[2] => Mux29.IN2 @@ -5900,377 +7264,346 @@ wren_a => ram_block1a31.PORTAWE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst clk_i => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.clk_i -clk_i => cp_monitor.cnt[0].CLK -clk_i => cp_monitor.cnt[1].CLK -clk_i => cp_monitor.cnt[2].CLK -clk_i => cp_monitor.cnt[3].CLK -clk_i => cp_monitor.cnt[4].CLK -clk_i => cp_monitor.cnt[5].CLK -clk_i => cp_monitor.cnt[6].CLK -clk_i => cp_monitor.cnt[7].CLK -clk_i => cp_monitor.exc.CLK -clk_i => cp_monitor.fin.CLK -clk_i => cp_monitor.run.CLK clk_i => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.clk_i rstn_i => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.rstn_i rstn_i => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.rstn_i -rstn_i => cp_monitor.cnt[0].ACLR -rstn_i => cp_monitor.cnt[1].ACLR -rstn_i => cp_monitor.cnt[2].ACLR -rstn_i => cp_monitor.cnt[3].ACLR -rstn_i => cp_monitor.cnt[4].ACLR -rstn_i => cp_monitor.cnt[5].ACLR -rstn_i => cp_monitor.cnt[6].ACLR -rstn_i => cp_monitor.cnt[7].ACLR -rstn_i => cp_monitor.exc.ACLR -rstn_i => cp_monitor.fin.ACLR -rstn_i => cp_monitor.run.ACLR -ctrl_i.cpu_debug => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.cpu_debug -ctrl_i.cpu_debug => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.cpu_debug -ctrl_i.cpu_trap => coprocessor_monitor.IN1 -ctrl_i.cpu_trap => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.cpu_trap -ctrl_i.cpu_trap => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.cpu_trap -ctrl_i.cpu_sleep => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.cpu_sleep -ctrl_i.cpu_sleep => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.cpu_sleep -ctrl_i.cpu_priv => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.cpu_priv -ctrl_i.cpu_priv => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.cpu_priv -ctrl_i.ir_opcode[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[0] -ctrl_i.ir_opcode[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[0] -ctrl_i.ir_opcode[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[1] -ctrl_i.ir_opcode[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[1] -ctrl_i.ir_opcode[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[2] -ctrl_i.ir_opcode[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[2] -ctrl_i.ir_opcode[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[3] -ctrl_i.ir_opcode[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[3] -ctrl_i.ir_opcode[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[4] -ctrl_i.ir_opcode[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[4] -ctrl_i.ir_opcode[5] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[5] -ctrl_i.ir_opcode[5] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[5] -ctrl_i.ir_opcode[6] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_opcode[6] -ctrl_i.ir_opcode[6] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_opcode[6] -ctrl_i.ir_funct12[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[0] -ctrl_i.ir_funct12[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[0] -ctrl_i.ir_funct12[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[1] -ctrl_i.ir_funct12[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[1] -ctrl_i.ir_funct12[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[2] -ctrl_i.ir_funct12[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[2] -ctrl_i.ir_funct12[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[3] -ctrl_i.ir_funct12[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[3] -ctrl_i.ir_funct12[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[4] -ctrl_i.ir_funct12[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[4] -ctrl_i.ir_funct12[5] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[5] -ctrl_i.ir_funct12[5] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[5] -ctrl_i.ir_funct12[6] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[6] -ctrl_i.ir_funct12[6] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[6] -ctrl_i.ir_funct12[7] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[7] -ctrl_i.ir_funct12[7] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[7] -ctrl_i.ir_funct12[8] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[8] -ctrl_i.ir_funct12[8] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[8] -ctrl_i.ir_funct12[9] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[9] -ctrl_i.ir_funct12[9] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[9] -ctrl_i.ir_funct12[10] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[10] -ctrl_i.ir_funct12[10] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[10] -ctrl_i.ir_funct12[11] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct12[11] -ctrl_i.ir_funct12[11] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct12[11] -ctrl_i.ir_funct3[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct3[0] -ctrl_i.ir_funct3[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct3[0] -ctrl_i.ir_funct3[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct3[1] -ctrl_i.ir_funct3[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct3[1] -ctrl_i.ir_funct3[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.ir_funct3[2] -ctrl_i.ir_funct3[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.ir_funct3[2] -ctrl_i.bus_priv => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.bus_priv -ctrl_i.bus_priv => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.bus_priv -ctrl_i.bus_fencei => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.bus_fencei -ctrl_i.bus_fencei => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.bus_fencei -ctrl_i.bus_fence => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.bus_fence -ctrl_i.bus_fence => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.bus_fence -ctrl_i.bus_mo_we => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.bus_mo_we -ctrl_i.bus_mo_we => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.bus_mo_we -ctrl_i.bus_req => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.bus_req -ctrl_i.bus_req => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.bus_req -ctrl_i.alu_cp_trig[0] => tmp_v.IN1 -ctrl_i.alu_cp_trig[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[0] -ctrl_i.alu_cp_trig[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.start_i -ctrl_i.alu_cp_trig[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[0] -ctrl_i.alu_cp_trig[1] => tmp_v.IN1 -ctrl_i.alu_cp_trig[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[1] -ctrl_i.alu_cp_trig[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[1] -ctrl_i.alu_cp_trig[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.start_i -ctrl_i.alu_cp_trig[2] => tmp_v.IN1 -ctrl_i.alu_cp_trig[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[2] -ctrl_i.alu_cp_trig[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[2] -ctrl_i.alu_cp_trig[3] => tmp_v.IN1 -ctrl_i.alu_cp_trig[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[3] -ctrl_i.alu_cp_trig[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[3] -ctrl_i.alu_cp_trig[4] => tmp_v.IN0 -ctrl_i.alu_cp_trig[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[4] -ctrl_i.alu_cp_trig[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[4] -ctrl_i.alu_cp_trig[5] => tmp_v.IN1 -ctrl_i.alu_cp_trig[5] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_cp_trig[5] -ctrl_i.alu_cp_trig[5] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_cp_trig[5] -ctrl_i.alu_frm[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_frm[0] -ctrl_i.alu_frm[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_frm[0] -ctrl_i.alu_frm[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_frm[1] -ctrl_i.alu_frm[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_frm[1] -ctrl_i.alu_frm[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_frm[2] -ctrl_i.alu_frm[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_frm[2] -ctrl_i.alu_unsigned => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_unsigned -ctrl_i.alu_unsigned => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_unsigned -ctrl_i.alu_unsigned => cmp_rs2[32].IN0 -ctrl_i.alu_unsigned => cmp_rs1[32].IN0 -ctrl_i.alu_unsigned => \arithmetic_core:opa_v[32].IN1 -ctrl_i.alu_unsigned => \arithmetic_core:opb_v[32].IN1 -ctrl_i.alu_opb_mux => opb[31].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[30].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[29].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[28].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[27].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[26].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[25].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[24].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[23].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[22].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[21].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[20].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[19].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[18].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[17].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[16].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[15].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[14].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[13].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[12].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[11].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[10].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[9].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[8].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[7].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[6].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[5].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[4].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[3].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[2].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[1].OUTPUTSELECT -ctrl_i.alu_opb_mux => opb[0].OUTPUTSELECT -ctrl_i.alu_opb_mux => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_opb_mux -ctrl_i.alu_opb_mux => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_opb_mux -ctrl_i.alu_opa_mux => opa[31].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[30].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[29].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[28].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[27].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[26].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[25].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[24].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[23].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[22].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[21].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[20].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[19].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[18].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[17].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[16].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[15].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[14].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[13].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[12].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[11].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[10].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[9].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[8].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[7].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[6].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[5].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[4].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[3].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[2].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[1].OUTPUTSELECT -ctrl_i.alu_opa_mux => opa[0].OUTPUTSELECT -ctrl_i.alu_opa_mux => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_opa_mux -ctrl_i.alu_opa_mux => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_opa_mux -ctrl_i.alu_op[0] => addsub_res[32].OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => addsub_res.OUTPUTSELECT -ctrl_i.alu_op[0] => Mux0.IN6 -ctrl_i.alu_op[0] => Mux1.IN6 -ctrl_i.alu_op[0] => Mux2.IN6 -ctrl_i.alu_op[0] => Mux3.IN6 -ctrl_i.alu_op[0] => Mux4.IN6 -ctrl_i.alu_op[0] => Mux5.IN6 -ctrl_i.alu_op[0] => Mux6.IN6 -ctrl_i.alu_op[0] => Mux7.IN6 -ctrl_i.alu_op[0] => Mux8.IN6 -ctrl_i.alu_op[0] => Mux9.IN6 -ctrl_i.alu_op[0] => Mux10.IN6 -ctrl_i.alu_op[0] => Mux11.IN6 -ctrl_i.alu_op[0] => Mux12.IN6 -ctrl_i.alu_op[0] => Mux13.IN6 -ctrl_i.alu_op[0] => Mux14.IN6 -ctrl_i.alu_op[0] => Mux15.IN6 -ctrl_i.alu_op[0] => Mux16.IN6 -ctrl_i.alu_op[0] => Mux17.IN6 -ctrl_i.alu_op[0] => Mux18.IN6 -ctrl_i.alu_op[0] => Mux19.IN6 -ctrl_i.alu_op[0] => Mux20.IN6 -ctrl_i.alu_op[0] => Mux21.IN6 -ctrl_i.alu_op[0] => Mux22.IN6 -ctrl_i.alu_op[0] => Mux23.IN6 -ctrl_i.alu_op[0] => Mux24.IN6 -ctrl_i.alu_op[0] => Mux25.IN6 -ctrl_i.alu_op[0] => Mux26.IN6 -ctrl_i.alu_op[0] => Mux27.IN6 -ctrl_i.alu_op[0] => Mux28.IN6 -ctrl_i.alu_op[0] => Mux29.IN6 -ctrl_i.alu_op[0] => Mux30.IN6 -ctrl_i.alu_op[0] => Mux31.IN5 -ctrl_i.alu_op[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_op[0] -ctrl_i.alu_op[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_op[0] -ctrl_i.alu_op[1] => Mux0.IN5 -ctrl_i.alu_op[1] => Mux1.IN5 -ctrl_i.alu_op[1] => Mux2.IN5 -ctrl_i.alu_op[1] => Mux3.IN5 -ctrl_i.alu_op[1] => Mux4.IN5 -ctrl_i.alu_op[1] => Mux5.IN5 -ctrl_i.alu_op[1] => Mux6.IN5 -ctrl_i.alu_op[1] => Mux7.IN5 -ctrl_i.alu_op[1] => Mux8.IN5 -ctrl_i.alu_op[1] => Mux9.IN5 -ctrl_i.alu_op[1] => Mux10.IN5 -ctrl_i.alu_op[1] => Mux11.IN5 -ctrl_i.alu_op[1] => Mux12.IN5 -ctrl_i.alu_op[1] => Mux13.IN5 -ctrl_i.alu_op[1] => Mux14.IN5 -ctrl_i.alu_op[1] => Mux15.IN5 -ctrl_i.alu_op[1] => Mux16.IN5 -ctrl_i.alu_op[1] => Mux17.IN5 -ctrl_i.alu_op[1] => Mux18.IN5 -ctrl_i.alu_op[1] => Mux19.IN5 -ctrl_i.alu_op[1] => Mux20.IN5 -ctrl_i.alu_op[1] => Mux21.IN5 -ctrl_i.alu_op[1] => Mux22.IN5 -ctrl_i.alu_op[1] => Mux23.IN5 -ctrl_i.alu_op[1] => Mux24.IN5 -ctrl_i.alu_op[1] => Mux25.IN5 -ctrl_i.alu_op[1] => Mux26.IN5 -ctrl_i.alu_op[1] => Mux27.IN5 -ctrl_i.alu_op[1] => Mux28.IN5 -ctrl_i.alu_op[1] => Mux29.IN5 -ctrl_i.alu_op[1] => Mux30.IN5 -ctrl_i.alu_op[1] => Mux31.IN4 -ctrl_i.alu_op[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_op[1] -ctrl_i.alu_op[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_op[1] -ctrl_i.alu_op[2] => Mux0.IN4 -ctrl_i.alu_op[2] => Mux1.IN4 -ctrl_i.alu_op[2] => Mux2.IN4 -ctrl_i.alu_op[2] => Mux3.IN4 -ctrl_i.alu_op[2] => Mux4.IN4 -ctrl_i.alu_op[2] => Mux5.IN4 -ctrl_i.alu_op[2] => Mux6.IN4 -ctrl_i.alu_op[2] => Mux7.IN4 -ctrl_i.alu_op[2] => Mux8.IN4 -ctrl_i.alu_op[2] => Mux9.IN4 -ctrl_i.alu_op[2] => Mux10.IN4 -ctrl_i.alu_op[2] => Mux11.IN4 -ctrl_i.alu_op[2] => Mux12.IN4 -ctrl_i.alu_op[2] => Mux13.IN4 -ctrl_i.alu_op[2] => Mux14.IN4 -ctrl_i.alu_op[2] => Mux15.IN4 -ctrl_i.alu_op[2] => Mux16.IN4 -ctrl_i.alu_op[2] => Mux17.IN4 -ctrl_i.alu_op[2] => Mux18.IN4 -ctrl_i.alu_op[2] => Mux19.IN4 -ctrl_i.alu_op[2] => Mux20.IN4 -ctrl_i.alu_op[2] => Mux21.IN4 -ctrl_i.alu_op[2] => Mux22.IN4 -ctrl_i.alu_op[2] => Mux23.IN4 -ctrl_i.alu_op[2] => Mux24.IN4 -ctrl_i.alu_op[2] => Mux25.IN4 -ctrl_i.alu_op[2] => Mux26.IN4 -ctrl_i.alu_op[2] => Mux27.IN4 -ctrl_i.alu_op[2] => Mux28.IN4 -ctrl_i.alu_op[2] => Mux29.IN4 -ctrl_i.alu_op[2] => Mux30.IN4 -ctrl_i.alu_op[2] => Mux31.IN3 -ctrl_i.alu_op[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.alu_op[2] -ctrl_i.alu_op[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.alu_op[2] -ctrl_i.rf_zero_we => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_zero_we -ctrl_i.rf_zero_we => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_zero_we -ctrl_i.rf_mux[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_mux[0] -ctrl_i.rf_mux[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_mux[0] -ctrl_i.rf_mux[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_mux[1] -ctrl_i.rf_mux[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_mux[1] -ctrl_i.rf_rd[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rd[0] -ctrl_i.rf_rd[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rd[0] -ctrl_i.rf_rd[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rd[1] -ctrl_i.rf_rd[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rd[1] -ctrl_i.rf_rd[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rd[2] -ctrl_i.rf_rd[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rd[2] -ctrl_i.rf_rd[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rd[3] -ctrl_i.rf_rd[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rd[3] -ctrl_i.rf_rd[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rd[4] -ctrl_i.rf_rd[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rd[4] -ctrl_i.rf_rs3[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs3[0] -ctrl_i.rf_rs3[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs3[0] -ctrl_i.rf_rs3[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs3[1] -ctrl_i.rf_rs3[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs3[1] -ctrl_i.rf_rs3[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs3[2] -ctrl_i.rf_rs3[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs3[2] -ctrl_i.rf_rs3[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs3[3] -ctrl_i.rf_rs3[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs3[3] -ctrl_i.rf_rs3[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs3[4] -ctrl_i.rf_rs3[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs3[4] -ctrl_i.rf_rs2[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs2[0] -ctrl_i.rf_rs2[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs2[0] -ctrl_i.rf_rs2[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs2[1] -ctrl_i.rf_rs2[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs2[1] -ctrl_i.rf_rs2[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs2[2] -ctrl_i.rf_rs2[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs2[2] -ctrl_i.rf_rs2[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs2[3] -ctrl_i.rf_rs2[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs2[3] -ctrl_i.rf_rs2[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs2[4] -ctrl_i.rf_rs2[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs2[4] -ctrl_i.rf_rs1[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs1[0] -ctrl_i.rf_rs1[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs1[0] -ctrl_i.rf_rs1[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs1[1] -ctrl_i.rf_rs1[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs1[1] -ctrl_i.rf_rs1[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs1[2] -ctrl_i.rf_rs1[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs1[2] -ctrl_i.rf_rs1[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs1[3] -ctrl_i.rf_rs1[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs1[3] -ctrl_i.rf_rs1[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_rs1[4] -ctrl_i.rf_rs1[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_rs1[4] -ctrl_i.rf_wb_en => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i.rf_wb_en -ctrl_i.rf_wb_en => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i.rf_wb_en +ctrl_i[0] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[0] +ctrl_i[0] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[0] +ctrl_i[1] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[1] +ctrl_i[1] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[1] +ctrl_i[2] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[2] +ctrl_i[2] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[2] +ctrl_i[3] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[3] +ctrl_i[3] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[3] +ctrl_i[4] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[4] +ctrl_i[4] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[4] +ctrl_i[5] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[5] +ctrl_i[5] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[5] +ctrl_i[6] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[6] +ctrl_i[6] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[6] +ctrl_i[7] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[7] +ctrl_i[7] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[7] +ctrl_i[8] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[8] +ctrl_i[8] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[8] +ctrl_i[9] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[9] +ctrl_i[9] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[9] +ctrl_i[10] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[10] +ctrl_i[10] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[10] +ctrl_i[11] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[11] +ctrl_i[11] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[11] +ctrl_i[12] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[12] +ctrl_i[12] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[12] +ctrl_i[13] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[13] +ctrl_i[13] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[13] +ctrl_i[14] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[14] +ctrl_i[14] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[14] +ctrl_i[15] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[15] +ctrl_i[15] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[15] +ctrl_i[16] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[16] +ctrl_i[16] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[16] +ctrl_i[17] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[17] +ctrl_i[17] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[17] +ctrl_i[18] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[18] +ctrl_i[18] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[18] +ctrl_i[19] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[19] +ctrl_i[19] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[19] +ctrl_i[20] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[20] +ctrl_i[20] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[20] +ctrl_i[21] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[21] +ctrl_i[21] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[21] +ctrl_i[22] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[22] +ctrl_i[22] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[22] +ctrl_i[23] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[23] +ctrl_i[23] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[23] +ctrl_i[24] => addsub_res[32].OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => addsub_res.OUTPUTSELECT +ctrl_i[24] => Mux0.IN6 +ctrl_i[24] => Mux1.IN6 +ctrl_i[24] => Mux2.IN6 +ctrl_i[24] => Mux3.IN6 +ctrl_i[24] => Mux4.IN6 +ctrl_i[24] => Mux5.IN6 +ctrl_i[24] => Mux6.IN6 +ctrl_i[24] => Mux7.IN6 +ctrl_i[24] => Mux8.IN6 +ctrl_i[24] => Mux9.IN6 +ctrl_i[24] => Mux10.IN6 +ctrl_i[24] => Mux11.IN6 +ctrl_i[24] => Mux12.IN6 +ctrl_i[24] => Mux13.IN6 +ctrl_i[24] => Mux14.IN6 +ctrl_i[24] => Mux15.IN6 +ctrl_i[24] => Mux16.IN6 +ctrl_i[24] => Mux17.IN6 +ctrl_i[24] => Mux18.IN6 +ctrl_i[24] => Mux19.IN6 +ctrl_i[24] => Mux20.IN6 +ctrl_i[24] => Mux21.IN6 +ctrl_i[24] => Mux22.IN6 +ctrl_i[24] => Mux23.IN6 +ctrl_i[24] => Mux24.IN6 +ctrl_i[24] => Mux25.IN6 +ctrl_i[24] => Mux26.IN6 +ctrl_i[24] => Mux27.IN6 +ctrl_i[24] => Mux28.IN6 +ctrl_i[24] => Mux29.IN6 +ctrl_i[24] => Mux30.IN6 +ctrl_i[24] => Mux31.IN5 +ctrl_i[24] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[24] +ctrl_i[24] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[24] +ctrl_i[25] => Mux0.IN5 +ctrl_i[25] => Mux1.IN5 +ctrl_i[25] => Mux2.IN5 +ctrl_i[25] => Mux3.IN5 +ctrl_i[25] => Mux4.IN5 +ctrl_i[25] => Mux5.IN5 +ctrl_i[25] => Mux6.IN5 +ctrl_i[25] => Mux7.IN5 +ctrl_i[25] => Mux8.IN5 +ctrl_i[25] => Mux9.IN5 +ctrl_i[25] => Mux10.IN5 +ctrl_i[25] => Mux11.IN5 +ctrl_i[25] => Mux12.IN5 +ctrl_i[25] => Mux13.IN5 +ctrl_i[25] => Mux14.IN5 +ctrl_i[25] => Mux15.IN5 +ctrl_i[25] => Mux16.IN5 +ctrl_i[25] => Mux17.IN5 +ctrl_i[25] => Mux18.IN5 +ctrl_i[25] => Mux19.IN5 +ctrl_i[25] => Mux20.IN5 +ctrl_i[25] => Mux21.IN5 +ctrl_i[25] => Mux22.IN5 +ctrl_i[25] => Mux23.IN5 +ctrl_i[25] => Mux24.IN5 +ctrl_i[25] => Mux25.IN5 +ctrl_i[25] => Mux26.IN5 +ctrl_i[25] => Mux27.IN5 +ctrl_i[25] => Mux28.IN5 +ctrl_i[25] => Mux29.IN5 +ctrl_i[25] => Mux30.IN5 +ctrl_i[25] => Mux31.IN4 +ctrl_i[25] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[25] +ctrl_i[25] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[25] +ctrl_i[26] => Mux0.IN4 +ctrl_i[26] => Mux1.IN4 +ctrl_i[26] => Mux2.IN4 +ctrl_i[26] => Mux3.IN4 +ctrl_i[26] => Mux4.IN4 +ctrl_i[26] => Mux5.IN4 +ctrl_i[26] => Mux6.IN4 +ctrl_i[26] => Mux7.IN4 +ctrl_i[26] => Mux8.IN4 +ctrl_i[26] => Mux9.IN4 +ctrl_i[26] => Mux10.IN4 +ctrl_i[26] => Mux11.IN4 +ctrl_i[26] => Mux12.IN4 +ctrl_i[26] => Mux13.IN4 +ctrl_i[26] => Mux14.IN4 +ctrl_i[26] => Mux15.IN4 +ctrl_i[26] => Mux16.IN4 +ctrl_i[26] => Mux17.IN4 +ctrl_i[26] => Mux18.IN4 +ctrl_i[26] => Mux19.IN4 +ctrl_i[26] => Mux20.IN4 +ctrl_i[26] => Mux21.IN4 +ctrl_i[26] => Mux22.IN4 +ctrl_i[26] => Mux23.IN4 +ctrl_i[26] => Mux24.IN4 +ctrl_i[26] => Mux25.IN4 +ctrl_i[26] => Mux26.IN4 +ctrl_i[26] => Mux27.IN4 +ctrl_i[26] => Mux28.IN4 +ctrl_i[26] => Mux29.IN4 +ctrl_i[26] => Mux30.IN4 +ctrl_i[26] => Mux31.IN3 +ctrl_i[26] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[26] +ctrl_i[26] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[26] +ctrl_i[27] => opa[31].OUTPUTSELECT +ctrl_i[27] => opa[30].OUTPUTSELECT +ctrl_i[27] => opa[29].OUTPUTSELECT +ctrl_i[27] => opa[28].OUTPUTSELECT +ctrl_i[27] => opa[27].OUTPUTSELECT +ctrl_i[27] => opa[26].OUTPUTSELECT +ctrl_i[27] => opa[25].OUTPUTSELECT +ctrl_i[27] => opa[24].OUTPUTSELECT +ctrl_i[27] => opa[23].OUTPUTSELECT +ctrl_i[27] => opa[22].OUTPUTSELECT +ctrl_i[27] => opa[21].OUTPUTSELECT +ctrl_i[27] => opa[20].OUTPUTSELECT +ctrl_i[27] => opa[19].OUTPUTSELECT +ctrl_i[27] => opa[18].OUTPUTSELECT +ctrl_i[27] => opa[17].OUTPUTSELECT +ctrl_i[27] => opa[16].OUTPUTSELECT +ctrl_i[27] => opa[15].OUTPUTSELECT +ctrl_i[27] => opa[14].OUTPUTSELECT +ctrl_i[27] => opa[13].OUTPUTSELECT +ctrl_i[27] => opa[12].OUTPUTSELECT +ctrl_i[27] => opa[11].OUTPUTSELECT +ctrl_i[27] => opa[10].OUTPUTSELECT +ctrl_i[27] => opa[9].OUTPUTSELECT +ctrl_i[27] => opa[8].OUTPUTSELECT +ctrl_i[27] => opa[7].OUTPUTSELECT +ctrl_i[27] => opa[6].OUTPUTSELECT +ctrl_i[27] => opa[5].OUTPUTSELECT +ctrl_i[27] => opa[4].OUTPUTSELECT +ctrl_i[27] => opa[3].OUTPUTSELECT +ctrl_i[27] => opa[2].OUTPUTSELECT +ctrl_i[27] => opa[1].OUTPUTSELECT +ctrl_i[27] => opa[0].OUTPUTSELECT +ctrl_i[27] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[27] +ctrl_i[27] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[27] +ctrl_i[28] => opb[31].OUTPUTSELECT +ctrl_i[28] => opb[30].OUTPUTSELECT +ctrl_i[28] => opb[29].OUTPUTSELECT +ctrl_i[28] => opb[28].OUTPUTSELECT +ctrl_i[28] => opb[27].OUTPUTSELECT +ctrl_i[28] => opb[26].OUTPUTSELECT +ctrl_i[28] => opb[25].OUTPUTSELECT +ctrl_i[28] => opb[24].OUTPUTSELECT +ctrl_i[28] => opb[23].OUTPUTSELECT +ctrl_i[28] => opb[22].OUTPUTSELECT +ctrl_i[28] => opb[21].OUTPUTSELECT +ctrl_i[28] => opb[20].OUTPUTSELECT +ctrl_i[28] => opb[19].OUTPUTSELECT +ctrl_i[28] => opb[18].OUTPUTSELECT +ctrl_i[28] => opb[17].OUTPUTSELECT +ctrl_i[28] => opb[16].OUTPUTSELECT +ctrl_i[28] => opb[15].OUTPUTSELECT +ctrl_i[28] => opb[14].OUTPUTSELECT +ctrl_i[28] => opb[13].OUTPUTSELECT +ctrl_i[28] => opb[12].OUTPUTSELECT +ctrl_i[28] => opb[11].OUTPUTSELECT +ctrl_i[28] => opb[10].OUTPUTSELECT +ctrl_i[28] => opb[9].OUTPUTSELECT +ctrl_i[28] => opb[8].OUTPUTSELECT +ctrl_i[28] => opb[7].OUTPUTSELECT +ctrl_i[28] => opb[6].OUTPUTSELECT +ctrl_i[28] => opb[5].OUTPUTSELECT +ctrl_i[28] => opb[4].OUTPUTSELECT +ctrl_i[28] => opb[3].OUTPUTSELECT +ctrl_i[28] => opb[2].OUTPUTSELECT +ctrl_i[28] => opb[1].OUTPUTSELECT +ctrl_i[28] => opb[0].OUTPUTSELECT +ctrl_i[28] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[28] +ctrl_i[28] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[28] +ctrl_i[29] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[29] +ctrl_i[29] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[29] +ctrl_i[29] => cmp_rs2[32].IN0 +ctrl_i[29] => cmp_rs1[32].IN0 +ctrl_i[29] => \arithmetic_core:opa_v[32].IN1 +ctrl_i[29] => \arithmetic_core:opb_v[32].IN1 +ctrl_i[30] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[30] +ctrl_i[30] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[30] +ctrl_i[31] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[31] +ctrl_i[31] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[31] +ctrl_i[32] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[32] +ctrl_i[32] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[32] +ctrl_i[33] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[33] +ctrl_i[33] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.start_i +ctrl_i[33] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[33] +ctrl_i[34] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[34] +ctrl_i[34] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[34] +ctrl_i[34] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.start_i +ctrl_i[35] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[35] +ctrl_i[35] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[35] +ctrl_i[36] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[36] +ctrl_i[36] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[36] +ctrl_i[37] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[37] +ctrl_i[37] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[37] +ctrl_i[38] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[38] +ctrl_i[38] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[38] +ctrl_i[39] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[39] +ctrl_i[39] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[39] +ctrl_i[40] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[40] +ctrl_i[40] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[40] +ctrl_i[41] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[41] +ctrl_i[41] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[41] +ctrl_i[42] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[42] +ctrl_i[42] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[42] +ctrl_i[43] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[43] +ctrl_i[43] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[43] +ctrl_i[44] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[44] +ctrl_i[44] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[44] +ctrl_i[45] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[45] +ctrl_i[45] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[45] +ctrl_i[46] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[46] +ctrl_i[46] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[46] +ctrl_i[47] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[47] +ctrl_i[47] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[47] +ctrl_i[48] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[48] +ctrl_i[48] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[48] +ctrl_i[49] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[49] +ctrl_i[49] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[49] +ctrl_i[50] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[50] +ctrl_i[50] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[50] +ctrl_i[51] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[51] +ctrl_i[51] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[51] +ctrl_i[52] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[52] +ctrl_i[52] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[52] +ctrl_i[53] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[53] +ctrl_i[53] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[53] +ctrl_i[54] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[54] +ctrl_i[54] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[54] +ctrl_i[55] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[55] +ctrl_i[55] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[55] +ctrl_i[56] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[56] +ctrl_i[56] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[56] +ctrl_i[57] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[57] +ctrl_i[57] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[57] +ctrl_i[58] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[58] +ctrl_i[58] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[58] +ctrl_i[59] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[59] +ctrl_i[59] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[59] +ctrl_i[60] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[60] +ctrl_i[60] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[60] +ctrl_i[61] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[61] +ctrl_i[61] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[61] +ctrl_i[62] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[62] +ctrl_i[62] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[62] +ctrl_i[63] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[63] +ctrl_i[63] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[63] +ctrl_i[64] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[64] +ctrl_i[64] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[64] +ctrl_i[65] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[65] +ctrl_i[65] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[65] +ctrl_i[66] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[66] +ctrl_i[66] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[66] +ctrl_i[67] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[67] +ctrl_i[67] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[67] +ctrl_i[68] => neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst.ctrl_i[68] +ctrl_i[68] => neorv32_cpu_cp_muldiv:neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst.ctrl_i[68] rs1_i[0] => Equal0.IN31 rs1_i[0] => opa[0].DATAA rs1_i[0] => res_o.IN1 @@ -6856,8 +8189,7 @@ fpu_flags_o[1] <= fpu_flags_o[2] <= fpu_flags_o[3] <= fpu_flags_o[4] <= -exc_o <= cp_monitor.exc.DB_MAX_OUTPUT_PORT_TYPE -cp_done_o <= cp_done_o.DB_MAX_OUTPUT_PORT_TYPE +idone_o <= idone_o.DB_MAX_OUTPUT_PORT_TYPE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst @@ -6939,107 +8271,106 @@ rstn_i => shifter.cnt[3].ACLR rstn_i => shifter.cnt[4].ACLR rstn_i => shifter.busy_ff.ACLR rstn_i => shifter.busy.ACLR -ctrl_i.cpu_debug => ~NO_FANOUT~ -ctrl_i.cpu_trap => serial_shifter_core.IN1 -ctrl_i.cpu_sleep => ~NO_FANOUT~ -ctrl_i.cpu_priv => ~NO_FANOUT~ -ctrl_i.ir_opcode[0] => ~NO_FANOUT~ -ctrl_i.ir_opcode[1] => ~NO_FANOUT~ -ctrl_i.ir_opcode[2] => ~NO_FANOUT~ -ctrl_i.ir_opcode[3] => ~NO_FANOUT~ -ctrl_i.ir_opcode[4] => ~NO_FANOUT~ -ctrl_i.ir_opcode[5] => ~NO_FANOUT~ -ctrl_i.ir_opcode[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[0] => ~NO_FANOUT~ -ctrl_i.ir_funct12[1] => ~NO_FANOUT~ -ctrl_i.ir_funct12[2] => ~NO_FANOUT~ -ctrl_i.ir_funct12[3] => ~NO_FANOUT~ -ctrl_i.ir_funct12[4] => ~NO_FANOUT~ -ctrl_i.ir_funct12[5] => ~NO_FANOUT~ -ctrl_i.ir_funct12[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[7] => ~NO_FANOUT~ -ctrl_i.ir_funct12[8] => ~NO_FANOUT~ -ctrl_i.ir_funct12[9] => ~NO_FANOUT~ -ctrl_i.ir_funct12[10] => sreg.IN1 -ctrl_i.ir_funct12[11] => ~NO_FANOUT~ -ctrl_i.ir_funct3[0] => ~NO_FANOUT~ -ctrl_i.ir_funct3[1] => ~NO_FANOUT~ -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.ir_funct3[2] => shifter.OUTPUTSELECT -ctrl_i.bus_priv => ~NO_FANOUT~ -ctrl_i.bus_fencei => ~NO_FANOUT~ -ctrl_i.bus_fence => ~NO_FANOUT~ -ctrl_i.bus_mo_we => ~NO_FANOUT~ -ctrl_i.bus_req => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[0] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[1] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[2] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[3] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[4] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[5] => ~NO_FANOUT~ -ctrl_i.alu_frm[0] => ~NO_FANOUT~ -ctrl_i.alu_frm[1] => ~NO_FANOUT~ -ctrl_i.alu_frm[2] => ~NO_FANOUT~ -ctrl_i.alu_unsigned => ~NO_FANOUT~ -ctrl_i.alu_opb_mux => ~NO_FANOUT~ -ctrl_i.alu_opa_mux => ~NO_FANOUT~ -ctrl_i.alu_op[0] => ~NO_FANOUT~ -ctrl_i.alu_op[1] => ~NO_FANOUT~ -ctrl_i.alu_op[2] => ~NO_FANOUT~ -ctrl_i.rf_zero_we => ~NO_FANOUT~ -ctrl_i.rf_mux[0] => ~NO_FANOUT~ -ctrl_i.rf_mux[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[0] => ~NO_FANOUT~ -ctrl_i.rf_rd[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[2] => ~NO_FANOUT~ -ctrl_i.rf_rd[3] => ~NO_FANOUT~ -ctrl_i.rf_rd[4] => ~NO_FANOUT~ -ctrl_i.rf_rs3[0] => ~NO_FANOUT~ -ctrl_i.rf_rs3[1] => ~NO_FANOUT~ -ctrl_i.rf_rs3[2] => ~NO_FANOUT~ -ctrl_i.rf_rs3[3] => ~NO_FANOUT~ -ctrl_i.rf_rs3[4] => ~NO_FANOUT~ -ctrl_i.rf_rs2[0] => ~NO_FANOUT~ -ctrl_i.rf_rs2[1] => ~NO_FANOUT~ -ctrl_i.rf_rs2[2] => ~NO_FANOUT~ -ctrl_i.rf_rs2[3] => ~NO_FANOUT~ -ctrl_i.rf_rs2[4] => ~NO_FANOUT~ -ctrl_i.rf_rs1[0] => ~NO_FANOUT~ -ctrl_i.rf_rs1[1] => ~NO_FANOUT~ -ctrl_i.rf_rs1[2] => ~NO_FANOUT~ -ctrl_i.rf_rs1[3] => ~NO_FANOUT~ -ctrl_i.rf_rs1[4] => ~NO_FANOUT~ -ctrl_i.rf_wb_en => ~NO_FANOUT~ +ctrl_i[0] => ~NO_FANOUT~ +ctrl_i[1] => ~NO_FANOUT~ +ctrl_i[2] => ~NO_FANOUT~ +ctrl_i[3] => ~NO_FANOUT~ +ctrl_i[4] => ~NO_FANOUT~ +ctrl_i[5] => ~NO_FANOUT~ +ctrl_i[6] => ~NO_FANOUT~ +ctrl_i[7] => ~NO_FANOUT~ +ctrl_i[8] => ~NO_FANOUT~ +ctrl_i[9] => ~NO_FANOUT~ +ctrl_i[10] => ~NO_FANOUT~ +ctrl_i[11] => ~NO_FANOUT~ +ctrl_i[12] => ~NO_FANOUT~ +ctrl_i[13] => ~NO_FANOUT~ +ctrl_i[14] => ~NO_FANOUT~ +ctrl_i[15] => ~NO_FANOUT~ +ctrl_i[16] => ~NO_FANOUT~ +ctrl_i[17] => ~NO_FANOUT~ +ctrl_i[18] => ~NO_FANOUT~ +ctrl_i[19] => ~NO_FANOUT~ +ctrl_i[20] => ~NO_FANOUT~ +ctrl_i[21] => ~NO_FANOUT~ +ctrl_i[22] => ~NO_FANOUT~ +ctrl_i[23] => ~NO_FANOUT~ +ctrl_i[24] => ~NO_FANOUT~ +ctrl_i[25] => ~NO_FANOUT~ +ctrl_i[26] => ~NO_FANOUT~ +ctrl_i[27] => ~NO_FANOUT~ +ctrl_i[28] => ~NO_FANOUT~ +ctrl_i[29] => ~NO_FANOUT~ +ctrl_i[30] => ~NO_FANOUT~ +ctrl_i[31] => ~NO_FANOUT~ +ctrl_i[32] => ~NO_FANOUT~ +ctrl_i[33] => ~NO_FANOUT~ +ctrl_i[34] => ~NO_FANOUT~ +ctrl_i[35] => ~NO_FANOUT~ +ctrl_i[36] => ~NO_FANOUT~ +ctrl_i[37] => ~NO_FANOUT~ +ctrl_i[38] => ~NO_FANOUT~ +ctrl_i[39] => ~NO_FANOUT~ +ctrl_i[40] => ~NO_FANOUT~ +ctrl_i[41] => ~NO_FANOUT~ +ctrl_i[42] => ~NO_FANOUT~ +ctrl_i[43] => ~NO_FANOUT~ +ctrl_i[44] => ~NO_FANOUT~ +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[45] => shifter.OUTPUTSELECT +ctrl_i[46] => ~NO_FANOUT~ +ctrl_i[47] => ~NO_FANOUT~ +ctrl_i[48] => ~NO_FANOUT~ +ctrl_i[49] => ~NO_FANOUT~ +ctrl_i[50] => ~NO_FANOUT~ +ctrl_i[51] => ~NO_FANOUT~ +ctrl_i[52] => ~NO_FANOUT~ +ctrl_i[53] => ~NO_FANOUT~ +ctrl_i[54] => ~NO_FANOUT~ +ctrl_i[55] => ~NO_FANOUT~ +ctrl_i[56] => sreg.IN1 +ctrl_i[57] => ~NO_FANOUT~ +ctrl_i[58] => ~NO_FANOUT~ +ctrl_i[59] => ~NO_FANOUT~ +ctrl_i[60] => ~NO_FANOUT~ +ctrl_i[61] => ~NO_FANOUT~ +ctrl_i[62] => ~NO_FANOUT~ +ctrl_i[63] => ~NO_FANOUT~ +ctrl_i[64] => ~NO_FANOUT~ +ctrl_i[65] => ~NO_FANOUT~ +ctrl_i[66] => ~NO_FANOUT~ +ctrl_i[67] => serial_shifter_core.IN1 +ctrl_i[68] => ~NO_FANOUT~ start_i => shifter.OUTPUTSELECT start_i => shifter.OUTPUTSELECT start_i => shifter.OUTPUTSELECT @@ -7365,97 +8696,96 @@ rstn_i => ctrl.cnt[2].ACLR rstn_i => ctrl.cnt[3].ACLR rstn_i => ctrl.cnt[4].ACLR rstn_i => ctrl.state~3.DATAIN -ctrl_i.cpu_debug => ~NO_FANOUT~ -ctrl_i.cpu_trap => coprocessor_ctrl.IN1 -ctrl_i.cpu_sleep => ~NO_FANOUT~ -ctrl_i.cpu_priv => ~NO_FANOUT~ -ctrl_i.ir_opcode[0] => ~NO_FANOUT~ -ctrl_i.ir_opcode[1] => ~NO_FANOUT~ -ctrl_i.ir_opcode[2] => ~NO_FANOUT~ -ctrl_i.ir_opcode[3] => ~NO_FANOUT~ -ctrl_i.ir_opcode[4] => ~NO_FANOUT~ -ctrl_i.ir_opcode[5] => ~NO_FANOUT~ -ctrl_i.ir_opcode[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[0] => ~NO_FANOUT~ -ctrl_i.ir_funct12[1] => ~NO_FANOUT~ -ctrl_i.ir_funct12[2] => ~NO_FANOUT~ -ctrl_i.ir_funct12[3] => ~NO_FANOUT~ -ctrl_i.ir_funct12[4] => ~NO_FANOUT~ -ctrl_i.ir_funct12[5] => ~NO_FANOUT~ -ctrl_i.ir_funct12[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[7] => ~NO_FANOUT~ -ctrl_i.ir_funct12[8] => ~NO_FANOUT~ -ctrl_i.ir_funct12[9] => ~NO_FANOUT~ -ctrl_i.ir_funct12[10] => ~NO_FANOUT~ -ctrl_i.ir_funct12[11] => ~NO_FANOUT~ -ctrl_i.ir_funct3[0] => Equal0.IN1 -ctrl_i.ir_funct3[0] => Equal1.IN0 -ctrl_i.ir_funct3[0] => Equal2.IN2 -ctrl_i.ir_funct3[0] => Equal3.IN1 -ctrl_i.ir_funct3[0] => Equal4.IN0 -ctrl_i.ir_funct3[0] => Equal5.IN1 -ctrl_i.ir_funct3[0] => Equal6.IN2 -ctrl_i.ir_funct3[0] => ctrl.cp_op_ff[0].DATAIN -ctrl_i.ir_funct3[1] => Equal0.IN0 -ctrl_i.ir_funct3[1] => Equal1.IN1 -ctrl_i.ir_funct3[1] => Equal2.IN1 -ctrl_i.ir_funct3[1] => Equal3.IN2 -ctrl_i.ir_funct3[1] => Equal4.IN2 -ctrl_i.ir_funct3[1] => Equal5.IN0 -ctrl_i.ir_funct3[1] => Equal6.IN0 -ctrl_i.ir_funct3[1] => ctrl.cp_op_ff[1].DATAIN -ctrl_i.ir_funct3[2] => div.start.IN0 -ctrl_i.ir_funct3[2] => Equal2.IN0 -ctrl_i.ir_funct3[2] => Equal3.IN0 -ctrl_i.ir_funct3[2] => Equal4.IN1 -ctrl_i.ir_funct3[2] => mul.start.IN0 -ctrl_i.ir_funct3[2] => Equal5.IN2 -ctrl_i.ir_funct3[2] => Equal6.IN1 -ctrl_i.ir_funct3[2] => ctrl.cp_op_ff[2].DATAIN -ctrl_i.bus_priv => ~NO_FANOUT~ -ctrl_i.bus_fencei => ~NO_FANOUT~ -ctrl_i.bus_fence => ~NO_FANOUT~ -ctrl_i.bus_mo_we => ~NO_FANOUT~ -ctrl_i.bus_req => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[0] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[1] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[2] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[3] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[4] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[5] => ~NO_FANOUT~ -ctrl_i.alu_frm[0] => ~NO_FANOUT~ -ctrl_i.alu_frm[1] => ~NO_FANOUT~ -ctrl_i.alu_frm[2] => ~NO_FANOUT~ -ctrl_i.alu_unsigned => ~NO_FANOUT~ -ctrl_i.alu_opb_mux => ~NO_FANOUT~ -ctrl_i.alu_opa_mux => ~NO_FANOUT~ -ctrl_i.alu_op[0] => ~NO_FANOUT~ -ctrl_i.alu_op[1] => ~NO_FANOUT~ -ctrl_i.alu_op[2] => ~NO_FANOUT~ -ctrl_i.rf_zero_we => ~NO_FANOUT~ -ctrl_i.rf_mux[0] => ~NO_FANOUT~ -ctrl_i.rf_mux[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[0] => ~NO_FANOUT~ -ctrl_i.rf_rd[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[2] => ~NO_FANOUT~ -ctrl_i.rf_rd[3] => ~NO_FANOUT~ -ctrl_i.rf_rd[4] => ~NO_FANOUT~ -ctrl_i.rf_rs3[0] => ~NO_FANOUT~ -ctrl_i.rf_rs3[1] => ~NO_FANOUT~ -ctrl_i.rf_rs3[2] => ~NO_FANOUT~ -ctrl_i.rf_rs3[3] => ~NO_FANOUT~ -ctrl_i.rf_rs3[4] => ~NO_FANOUT~ -ctrl_i.rf_rs2[0] => ~NO_FANOUT~ -ctrl_i.rf_rs2[1] => ~NO_FANOUT~ -ctrl_i.rf_rs2[2] => ~NO_FANOUT~ -ctrl_i.rf_rs2[3] => ~NO_FANOUT~ -ctrl_i.rf_rs2[4] => ~NO_FANOUT~ -ctrl_i.rf_rs1[0] => ~NO_FANOUT~ -ctrl_i.rf_rs1[1] => ~NO_FANOUT~ -ctrl_i.rf_rs1[2] => ~NO_FANOUT~ -ctrl_i.rf_rs1[3] => ~NO_FANOUT~ -ctrl_i.rf_rs1[4] => ~NO_FANOUT~ -ctrl_i.rf_wb_en => ~NO_FANOUT~ +ctrl_i[0] => ~NO_FANOUT~ +ctrl_i[1] => ~NO_FANOUT~ +ctrl_i[2] => ~NO_FANOUT~ +ctrl_i[3] => ~NO_FANOUT~ +ctrl_i[4] => ~NO_FANOUT~ +ctrl_i[5] => ~NO_FANOUT~ +ctrl_i[6] => ~NO_FANOUT~ +ctrl_i[7] => ~NO_FANOUT~ +ctrl_i[8] => ~NO_FANOUT~ +ctrl_i[9] => ~NO_FANOUT~ +ctrl_i[10] => ~NO_FANOUT~ +ctrl_i[11] => ~NO_FANOUT~ +ctrl_i[12] => ~NO_FANOUT~ +ctrl_i[13] => ~NO_FANOUT~ +ctrl_i[14] => ~NO_FANOUT~ +ctrl_i[15] => ~NO_FANOUT~ +ctrl_i[16] => ~NO_FANOUT~ +ctrl_i[17] => ~NO_FANOUT~ +ctrl_i[18] => ~NO_FANOUT~ +ctrl_i[19] => ~NO_FANOUT~ +ctrl_i[20] => ~NO_FANOUT~ +ctrl_i[21] => ~NO_FANOUT~ +ctrl_i[22] => ~NO_FANOUT~ +ctrl_i[23] => ~NO_FANOUT~ +ctrl_i[24] => ~NO_FANOUT~ +ctrl_i[25] => ~NO_FANOUT~ +ctrl_i[26] => ~NO_FANOUT~ +ctrl_i[27] => ~NO_FANOUT~ +ctrl_i[28] => ~NO_FANOUT~ +ctrl_i[29] => ~NO_FANOUT~ +ctrl_i[30] => ~NO_FANOUT~ +ctrl_i[31] => ~NO_FANOUT~ +ctrl_i[32] => ~NO_FANOUT~ +ctrl_i[33] => ~NO_FANOUT~ +ctrl_i[34] => ~NO_FANOUT~ +ctrl_i[35] => ~NO_FANOUT~ +ctrl_i[36] => ~NO_FANOUT~ +ctrl_i[37] => ~NO_FANOUT~ +ctrl_i[38] => ~NO_FANOUT~ +ctrl_i[39] => ~NO_FANOUT~ +ctrl_i[40] => ~NO_FANOUT~ +ctrl_i[41] => ~NO_FANOUT~ +ctrl_i[42] => ~NO_FANOUT~ +ctrl_i[43] => Equal0.IN1 +ctrl_i[43] => Equal1.IN0 +ctrl_i[43] => Equal2.IN2 +ctrl_i[43] => Equal3.IN1 +ctrl_i[43] => Equal4.IN0 +ctrl_i[43] => Equal5.IN1 +ctrl_i[43] => Equal6.IN2 +ctrl_i[43] => ctrl.cp_op_ff[0].DATAIN +ctrl_i[44] => Equal0.IN0 +ctrl_i[44] => Equal1.IN1 +ctrl_i[44] => Equal2.IN1 +ctrl_i[44] => Equal3.IN2 +ctrl_i[44] => Equal4.IN2 +ctrl_i[44] => Equal5.IN0 +ctrl_i[44] => Equal6.IN0 +ctrl_i[44] => ctrl.cp_op_ff[1].DATAIN +ctrl_i[45] => div.start.IN0 +ctrl_i[45] => Equal2.IN0 +ctrl_i[45] => Equal3.IN0 +ctrl_i[45] => Equal4.IN1 +ctrl_i[45] => mul.start.IN0 +ctrl_i[45] => Equal5.IN2 +ctrl_i[45] => Equal6.IN1 +ctrl_i[45] => ctrl.cp_op_ff[2].DATAIN +ctrl_i[46] => ~NO_FANOUT~ +ctrl_i[47] => ~NO_FANOUT~ +ctrl_i[48] => ~NO_FANOUT~ +ctrl_i[49] => ~NO_FANOUT~ +ctrl_i[50] => ~NO_FANOUT~ +ctrl_i[51] => ~NO_FANOUT~ +ctrl_i[52] => ~NO_FANOUT~ +ctrl_i[53] => ~NO_FANOUT~ +ctrl_i[54] => ~NO_FANOUT~ +ctrl_i[55] => ~NO_FANOUT~ +ctrl_i[56] => ~NO_FANOUT~ +ctrl_i[57] => ~NO_FANOUT~ +ctrl_i[58] => ~NO_FANOUT~ +ctrl_i[59] => ~NO_FANOUT~ +ctrl_i[60] => ~NO_FANOUT~ +ctrl_i[61] => ~NO_FANOUT~ +ctrl_i[62] => ~NO_FANOUT~ +ctrl_i[63] => ~NO_FANOUT~ +ctrl_i[64] => ~NO_FANOUT~ +ctrl_i[65] => ~NO_FANOUT~ +ctrl_i[66] => ~NO_FANOUT~ +ctrl_i[67] => coprocessor_ctrl.IN1 +ctrl_i[68] => ~NO_FANOUT~ start_i => div.OUTPUTSELECT start_i => ctrl.OUTPUTSELECT start_i => ctrl.OUTPUTSELECT @@ -7900,265 +9230,264 @@ rstn_i => arbiter.pmp_w_err.ACLR rstn_i => arbiter.pmp_r_err.ACLR rstn_i => arbiter.err.ACLR rstn_i => arbiter.pend.ACLR -ctrl_i.cpu_debug => ~NO_FANOUT~ -ctrl_i.cpu_trap => data_access_arbiter.IN0 -ctrl_i.cpu_sleep => ~NO_FANOUT~ -ctrl_i.cpu_priv => ~NO_FANOUT~ -ctrl_i.ir_opcode[0] => ~NO_FANOUT~ -ctrl_i.ir_opcode[1] => ~NO_FANOUT~ -ctrl_i.ir_opcode[2] => ~NO_FANOUT~ -ctrl_i.ir_opcode[3] => ~NO_FANOUT~ -ctrl_i.ir_opcode[4] => ~NO_FANOUT~ -ctrl_i.ir_opcode[5] => data_access_arbiter.IN1 -ctrl_i.ir_opcode[5] => ma_store_o.IN1 -ctrl_i.ir_opcode[5] => d_bus_we_o.IN0 -ctrl_i.ir_opcode[5] => ma_load_o.IN1 -ctrl_i.ir_opcode[5] => d_bus_re_o.IN0 -ctrl_i.ir_opcode[5] => data_access_arbiter.IN1 -ctrl_i.ir_opcode[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[0] => ~NO_FANOUT~ -ctrl_i.ir_funct12[1] => ~NO_FANOUT~ -ctrl_i.ir_funct12[2] => ~NO_FANOUT~ -ctrl_i.ir_funct12[3] => ~NO_FANOUT~ -ctrl_i.ir_funct12[4] => ~NO_FANOUT~ -ctrl_i.ir_funct12[5] => ~NO_FANOUT~ -ctrl_i.ir_funct12[6] => ~NO_FANOUT~ -ctrl_i.ir_funct12[7] => ~NO_FANOUT~ -ctrl_i.ir_funct12[8] => ~NO_FANOUT~ -ctrl_i.ir_funct12[9] => ~NO_FANOUT~ -ctrl_i.ir_funct12[10] => ~NO_FANOUT~ -ctrl_i.ir_funct12[11] => ~NO_FANOUT~ -ctrl_i.ir_funct3[0] => Mux0.IN4 -ctrl_i.ir_funct3[0] => Mux1.IN1 -ctrl_i.ir_funct3[0] => Mux2.IN1 -ctrl_i.ir_funct3[0] => Mux3.IN1 -ctrl_i.ir_funct3[0] => Mux4.IN1 -ctrl_i.ir_funct3[0] => Mux5.IN1 -ctrl_i.ir_funct3[0] => Mux6.IN1 -ctrl_i.ir_funct3[0] => Mux7.IN1 -ctrl_i.ir_funct3[0] => Mux8.IN1 -ctrl_i.ir_funct3[0] => Mux9.IN1 -ctrl_i.ir_funct3[0] => Mux10.IN1 -ctrl_i.ir_funct3[0] => Mux11.IN1 -ctrl_i.ir_funct3[0] => Mux12.IN1 -ctrl_i.ir_funct3[0] => Mux13.IN1 -ctrl_i.ir_funct3[0] => Mux14.IN1 -ctrl_i.ir_funct3[0] => Mux15.IN1 -ctrl_i.ir_funct3[0] => Mux16.IN1 -ctrl_i.ir_funct3[0] => Mux17.IN4 -ctrl_i.ir_funct3[0] => Mux18.IN4 -ctrl_i.ir_funct3[0] => Mux19.IN5 -ctrl_i.ir_funct3[0] => Mux20.IN5 -ctrl_i.ir_funct3[0] => Mux30.IN3 -ctrl_i.ir_funct3[0] => Mux31.IN3 -ctrl_i.ir_funct3[0] => Mux32.IN3 -ctrl_i.ir_funct3[0] => Mux33.IN3 -ctrl_i.ir_funct3[0] => Mux34.IN3 -ctrl_i.ir_funct3[0] => Mux35.IN3 -ctrl_i.ir_funct3[0] => Mux36.IN3 -ctrl_i.ir_funct3[0] => Mux37.IN3 -ctrl_i.ir_funct3[0] => Mux38.IN3 -ctrl_i.ir_funct3[0] => Mux39.IN3 -ctrl_i.ir_funct3[0] => Mux40.IN3 -ctrl_i.ir_funct3[0] => Mux41.IN3 -ctrl_i.ir_funct3[0] => Mux42.IN3 -ctrl_i.ir_funct3[0] => Mux43.IN3 -ctrl_i.ir_funct3[0] => Mux44.IN3 -ctrl_i.ir_funct3[0] => Mux45.IN3 -ctrl_i.ir_funct3[0] => Mux46.IN3 -ctrl_i.ir_funct3[0] => Mux47.IN3 -ctrl_i.ir_funct3[0] => Mux48.IN3 -ctrl_i.ir_funct3[0] => Mux49.IN3 -ctrl_i.ir_funct3[0] => Mux50.IN3 -ctrl_i.ir_funct3[0] => Mux51.IN3 -ctrl_i.ir_funct3[0] => Mux52.IN3 -ctrl_i.ir_funct3[0] => Mux53.IN3 -ctrl_i.ir_funct3[0] => Mux54.IN3 -ctrl_i.ir_funct3[0] => Mux55.IN3 -ctrl_i.ir_funct3[0] => Mux56.IN3 -ctrl_i.ir_funct3[0] => Mux57.IN3 -ctrl_i.ir_funct3[0] => Mux58.IN3 -ctrl_i.ir_funct3[0] => Mux59.IN3 -ctrl_i.ir_funct3[0] => Mux60.IN3 -ctrl_i.ir_funct3[0] => Mux61.IN3 -ctrl_i.ir_funct3[1] => Mux0.IN3 -ctrl_i.ir_funct3[1] => Mux1.IN0 -ctrl_i.ir_funct3[1] => Mux2.IN0 -ctrl_i.ir_funct3[1] => Mux3.IN0 -ctrl_i.ir_funct3[1] => Mux4.IN0 -ctrl_i.ir_funct3[1] => Mux5.IN0 -ctrl_i.ir_funct3[1] => Mux6.IN0 -ctrl_i.ir_funct3[1] => Mux7.IN0 -ctrl_i.ir_funct3[1] => Mux8.IN0 -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => d_bus_wdata_o.OUTPUTSELECT -ctrl_i.ir_funct3[1] => Mux9.IN0 -ctrl_i.ir_funct3[1] => Mux10.IN0 -ctrl_i.ir_funct3[1] => Mux11.IN0 -ctrl_i.ir_funct3[1] => Mux12.IN0 -ctrl_i.ir_funct3[1] => Mux13.IN0 -ctrl_i.ir_funct3[1] => Mux14.IN0 -ctrl_i.ir_funct3[1] => Mux15.IN0 -ctrl_i.ir_funct3[1] => Mux16.IN0 -ctrl_i.ir_funct3[1] => Mux17.IN3 -ctrl_i.ir_funct3[1] => Mux18.IN3 -ctrl_i.ir_funct3[1] => Mux19.IN4 -ctrl_i.ir_funct3[1] => Mux20.IN4 -ctrl_i.ir_funct3[1] => Mux30.IN2 -ctrl_i.ir_funct3[1] => Mux31.IN2 -ctrl_i.ir_funct3[1] => Mux32.IN2 -ctrl_i.ir_funct3[1] => Mux33.IN2 -ctrl_i.ir_funct3[1] => Mux34.IN2 -ctrl_i.ir_funct3[1] => Mux35.IN2 -ctrl_i.ir_funct3[1] => Mux36.IN2 -ctrl_i.ir_funct3[1] => Mux37.IN2 -ctrl_i.ir_funct3[1] => Mux38.IN2 -ctrl_i.ir_funct3[1] => Mux39.IN2 -ctrl_i.ir_funct3[1] => Mux40.IN2 -ctrl_i.ir_funct3[1] => Mux41.IN2 -ctrl_i.ir_funct3[1] => Mux42.IN2 -ctrl_i.ir_funct3[1] => Mux43.IN2 -ctrl_i.ir_funct3[1] => Mux44.IN2 -ctrl_i.ir_funct3[1] => Mux45.IN2 -ctrl_i.ir_funct3[1] => Mux46.IN2 -ctrl_i.ir_funct3[1] => Mux47.IN2 -ctrl_i.ir_funct3[1] => Mux48.IN2 -ctrl_i.ir_funct3[1] => Mux49.IN2 -ctrl_i.ir_funct3[1] => Mux50.IN2 -ctrl_i.ir_funct3[1] => Mux51.IN2 -ctrl_i.ir_funct3[1] => Mux52.IN2 -ctrl_i.ir_funct3[1] => Mux53.IN2 -ctrl_i.ir_funct3[1] => Mux54.IN2 -ctrl_i.ir_funct3[1] => Mux55.IN2 -ctrl_i.ir_funct3[1] => Mux56.IN2 -ctrl_i.ir_funct3[1] => Mux57.IN2 -ctrl_i.ir_funct3[1] => Mux58.IN2 -ctrl_i.ir_funct3[1] => Mux59.IN2 -ctrl_i.ir_funct3[1] => Mux60.IN2 -ctrl_i.ir_funct3[1] => Mux61.IN2 -ctrl_i.ir_funct3[2] => rdata_o.IN0 -ctrl_i.ir_funct3[2] => rdata_o.IN0 -ctrl_i.ir_funct3[2] => rdata_o.IN1 -ctrl_i.bus_priv => d_bus_priv_o.DATAIN -ctrl_i.bus_fencei => ~NO_FANOUT~ -ctrl_i.bus_fence => d_bus_fence_o.DATAIN -ctrl_i.bus_mo_we => mar[31].ENA -ctrl_i.bus_mo_we => mar[30].ENA -ctrl_i.bus_mo_we => mar[29].ENA -ctrl_i.bus_mo_we => mar[28].ENA -ctrl_i.bus_mo_we => mar[27].ENA -ctrl_i.bus_mo_we => mar[26].ENA -ctrl_i.bus_mo_we => mar[25].ENA -ctrl_i.bus_mo_we => mar[24].ENA -ctrl_i.bus_mo_we => mar[23].ENA -ctrl_i.bus_mo_we => mar[22].ENA -ctrl_i.bus_mo_we => mar[21].ENA -ctrl_i.bus_mo_we => mar[20].ENA -ctrl_i.bus_mo_we => mar[19].ENA -ctrl_i.bus_mo_we => mar[18].ENA -ctrl_i.bus_mo_we => mar[17].ENA -ctrl_i.bus_mo_we => mar[16].ENA -ctrl_i.bus_mo_we => mar[15].ENA -ctrl_i.bus_mo_we => mar[14].ENA -ctrl_i.bus_mo_we => mar[13].ENA -ctrl_i.bus_mo_we => mar[12].ENA -ctrl_i.bus_mo_we => mar[11].ENA -ctrl_i.bus_mo_we => mar[10].ENA -ctrl_i.bus_mo_we => mar[9].ENA -ctrl_i.bus_mo_we => mar[8].ENA -ctrl_i.bus_mo_we => mar[7].ENA -ctrl_i.bus_mo_we => mar[6].ENA -ctrl_i.bus_mo_we => mar[5].ENA -ctrl_i.bus_mo_we => mar[4].ENA -ctrl_i.bus_mo_we => mar[3].ENA -ctrl_i.bus_mo_we => mar[2].ENA -ctrl_i.bus_mo_we => mar[1].ENA -ctrl_i.bus_mo_we => mar[0].ENA -ctrl_i.bus_mo_we => d_bus_ben_o[3]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_ben_o[2]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_ben_o[1]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_ben_o[0]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[31]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[30]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[29]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[28]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[27]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[26]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[25]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[24]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[23]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[22]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[21]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[20]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[19]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[18]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[17]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[16]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[15]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[14]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[13]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[12]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[11]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[10]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[9]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[8]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[7]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[6]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[5]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[4]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[3]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[2]~reg0.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[1]~reg0.ENA -ctrl_i.bus_mo_we => misaligned.ENA -ctrl_i.bus_mo_we => d_bus_wdata_o[0]~reg0.ENA -ctrl_i.bus_req => arbiter.OUTPUTSELECT -ctrl_i.bus_req => d_bus_we_o.IN1 -ctrl_i.bus_req => d_bus_re_o.IN1 -ctrl_i.alu_cp_trig[0] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[1] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[2] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[3] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[4] => ~NO_FANOUT~ -ctrl_i.alu_cp_trig[5] => ~NO_FANOUT~ -ctrl_i.alu_frm[0] => ~NO_FANOUT~ -ctrl_i.alu_frm[1] => ~NO_FANOUT~ -ctrl_i.alu_frm[2] => ~NO_FANOUT~ -ctrl_i.alu_unsigned => ~NO_FANOUT~ -ctrl_i.alu_opb_mux => ~NO_FANOUT~ -ctrl_i.alu_opa_mux => ~NO_FANOUT~ -ctrl_i.alu_op[0] => ~NO_FANOUT~ -ctrl_i.alu_op[1] => ~NO_FANOUT~ -ctrl_i.alu_op[2] => ~NO_FANOUT~ -ctrl_i.rf_zero_we => ~NO_FANOUT~ -ctrl_i.rf_mux[0] => ~NO_FANOUT~ -ctrl_i.rf_mux[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[0] => ~NO_FANOUT~ -ctrl_i.rf_rd[1] => ~NO_FANOUT~ -ctrl_i.rf_rd[2] => ~NO_FANOUT~ -ctrl_i.rf_rd[3] => ~NO_FANOUT~ -ctrl_i.rf_rd[4] => ~NO_FANOUT~ -ctrl_i.rf_rs3[0] => ~NO_FANOUT~ -ctrl_i.rf_rs3[1] => ~NO_FANOUT~ -ctrl_i.rf_rs3[2] => ~NO_FANOUT~ -ctrl_i.rf_rs3[3] => ~NO_FANOUT~ -ctrl_i.rf_rs3[4] => ~NO_FANOUT~ -ctrl_i.rf_rs2[0] => ~NO_FANOUT~ -ctrl_i.rf_rs2[1] => ~NO_FANOUT~ -ctrl_i.rf_rs2[2] => ~NO_FANOUT~ -ctrl_i.rf_rs2[3] => ~NO_FANOUT~ -ctrl_i.rf_rs2[4] => ~NO_FANOUT~ -ctrl_i.rf_rs1[0] => ~NO_FANOUT~ -ctrl_i.rf_rs1[1] => ~NO_FANOUT~ -ctrl_i.rf_rs1[2] => ~NO_FANOUT~ -ctrl_i.rf_rs1[3] => ~NO_FANOUT~ -ctrl_i.rf_rs1[4] => ~NO_FANOUT~ -ctrl_i.rf_wb_en => ~NO_FANOUT~ +ctrl_i[0] => ~NO_FANOUT~ +ctrl_i[1] => ~NO_FANOUT~ +ctrl_i[2] => ~NO_FANOUT~ +ctrl_i[3] => ~NO_FANOUT~ +ctrl_i[4] => ~NO_FANOUT~ +ctrl_i[5] => ~NO_FANOUT~ +ctrl_i[6] => ~NO_FANOUT~ +ctrl_i[7] => ~NO_FANOUT~ +ctrl_i[8] => ~NO_FANOUT~ +ctrl_i[9] => ~NO_FANOUT~ +ctrl_i[10] => ~NO_FANOUT~ +ctrl_i[11] => ~NO_FANOUT~ +ctrl_i[12] => ~NO_FANOUT~ +ctrl_i[13] => ~NO_FANOUT~ +ctrl_i[14] => ~NO_FANOUT~ +ctrl_i[15] => ~NO_FANOUT~ +ctrl_i[16] => ~NO_FANOUT~ +ctrl_i[17] => ~NO_FANOUT~ +ctrl_i[18] => ~NO_FANOUT~ +ctrl_i[19] => ~NO_FANOUT~ +ctrl_i[20] => ~NO_FANOUT~ +ctrl_i[21] => ~NO_FANOUT~ +ctrl_i[22] => ~NO_FANOUT~ +ctrl_i[23] => ~NO_FANOUT~ +ctrl_i[24] => ~NO_FANOUT~ +ctrl_i[25] => ~NO_FANOUT~ +ctrl_i[26] => ~NO_FANOUT~ +ctrl_i[27] => ~NO_FANOUT~ +ctrl_i[28] => ~NO_FANOUT~ +ctrl_i[29] => ~NO_FANOUT~ +ctrl_i[30] => ~NO_FANOUT~ +ctrl_i[31] => ~NO_FANOUT~ +ctrl_i[32] => ~NO_FANOUT~ +ctrl_i[33] => ~NO_FANOUT~ +ctrl_i[34] => ~NO_FANOUT~ +ctrl_i[35] => ~NO_FANOUT~ +ctrl_i[36] => ~NO_FANOUT~ +ctrl_i[37] => ~NO_FANOUT~ +ctrl_i[38] => arbiter.OUTPUTSELECT +ctrl_i[38] => d_bus_we_o.IN0 +ctrl_i[38] => d_bus_re_o.IN0 +ctrl_i[39] => mar[31].ENA +ctrl_i[39] => mar[30].ENA +ctrl_i[39] => mar[29].ENA +ctrl_i[39] => mar[28].ENA +ctrl_i[39] => mar[27].ENA +ctrl_i[39] => mar[26].ENA +ctrl_i[39] => mar[25].ENA +ctrl_i[39] => mar[24].ENA +ctrl_i[39] => mar[23].ENA +ctrl_i[39] => mar[22].ENA +ctrl_i[39] => mar[21].ENA +ctrl_i[39] => mar[20].ENA +ctrl_i[39] => mar[19].ENA +ctrl_i[39] => mar[18].ENA +ctrl_i[39] => mar[17].ENA +ctrl_i[39] => mar[16].ENA +ctrl_i[39] => mar[15].ENA +ctrl_i[39] => mar[14].ENA +ctrl_i[39] => mar[13].ENA +ctrl_i[39] => mar[12].ENA +ctrl_i[39] => mar[11].ENA +ctrl_i[39] => mar[10].ENA +ctrl_i[39] => mar[9].ENA +ctrl_i[39] => mar[8].ENA +ctrl_i[39] => mar[7].ENA +ctrl_i[39] => mar[6].ENA +ctrl_i[39] => mar[5].ENA +ctrl_i[39] => mar[4].ENA +ctrl_i[39] => mar[3].ENA +ctrl_i[39] => mar[2].ENA +ctrl_i[39] => mar[1].ENA +ctrl_i[39] => mar[0].ENA +ctrl_i[39] => d_bus_ben_o[3]~reg0.ENA +ctrl_i[39] => d_bus_ben_o[2]~reg0.ENA +ctrl_i[39] => d_bus_ben_o[1]~reg0.ENA +ctrl_i[39] => d_bus_ben_o[0]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[31]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[30]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[29]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[28]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[27]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[26]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[25]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[24]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[23]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[22]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[21]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[20]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[19]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[18]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[17]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[16]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[15]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[14]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[13]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[12]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[11]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[10]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[9]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[8]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[7]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[6]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[5]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[4]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[3]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[2]~reg0.ENA +ctrl_i[39] => d_bus_wdata_o[1]~reg0.ENA +ctrl_i[39] => misaligned.ENA +ctrl_i[39] => d_bus_wdata_o[0]~reg0.ENA +ctrl_i[40] => d_bus_fence_o.DATAIN +ctrl_i[41] => ~NO_FANOUT~ +ctrl_i[42] => d_bus_priv_o.DATAIN +ctrl_i[43] => Mux0.IN4 +ctrl_i[43] => Mux1.IN1 +ctrl_i[43] => Mux2.IN1 +ctrl_i[43] => Mux3.IN1 +ctrl_i[43] => Mux4.IN1 +ctrl_i[43] => Mux5.IN1 +ctrl_i[43] => Mux6.IN1 +ctrl_i[43] => Mux7.IN1 +ctrl_i[43] => Mux8.IN1 +ctrl_i[43] => Mux9.IN1 +ctrl_i[43] => Mux10.IN1 +ctrl_i[43] => Mux11.IN1 +ctrl_i[43] => Mux12.IN1 +ctrl_i[43] => Mux13.IN1 +ctrl_i[43] => Mux14.IN1 +ctrl_i[43] => Mux15.IN1 +ctrl_i[43] => Mux16.IN1 +ctrl_i[43] => Mux17.IN4 +ctrl_i[43] => Mux18.IN4 +ctrl_i[43] => Mux19.IN5 +ctrl_i[43] => Mux20.IN5 +ctrl_i[43] => Mux30.IN3 +ctrl_i[43] => Mux31.IN3 +ctrl_i[43] => Mux32.IN3 +ctrl_i[43] => Mux33.IN3 +ctrl_i[43] => Mux34.IN3 +ctrl_i[43] => Mux35.IN3 +ctrl_i[43] => Mux36.IN3 +ctrl_i[43] => Mux37.IN3 +ctrl_i[43] => Mux38.IN3 +ctrl_i[43] => Mux39.IN3 +ctrl_i[43] => Mux40.IN3 +ctrl_i[43] => Mux41.IN3 +ctrl_i[43] => Mux42.IN3 +ctrl_i[43] => Mux43.IN3 +ctrl_i[43] => Mux44.IN3 +ctrl_i[43] => Mux45.IN3 +ctrl_i[43] => Mux46.IN3 +ctrl_i[43] => Mux47.IN3 +ctrl_i[43] => Mux48.IN3 +ctrl_i[43] => Mux49.IN3 +ctrl_i[43] => Mux50.IN3 +ctrl_i[43] => Mux51.IN3 +ctrl_i[43] => Mux52.IN3 +ctrl_i[43] => Mux53.IN3 +ctrl_i[43] => Mux54.IN3 +ctrl_i[43] => Mux55.IN3 +ctrl_i[43] => Mux56.IN3 +ctrl_i[43] => Mux57.IN3 +ctrl_i[43] => Mux58.IN3 +ctrl_i[43] => Mux59.IN3 +ctrl_i[43] => Mux60.IN3 +ctrl_i[43] => Mux61.IN3 +ctrl_i[44] => Mux0.IN3 +ctrl_i[44] => Mux1.IN0 +ctrl_i[44] => Mux2.IN0 +ctrl_i[44] => Mux3.IN0 +ctrl_i[44] => Mux4.IN0 +ctrl_i[44] => Mux5.IN0 +ctrl_i[44] => Mux6.IN0 +ctrl_i[44] => Mux7.IN0 +ctrl_i[44] => Mux8.IN0 +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => d_bus_wdata_o.OUTPUTSELECT +ctrl_i[44] => Mux9.IN0 +ctrl_i[44] => Mux10.IN0 +ctrl_i[44] => Mux11.IN0 +ctrl_i[44] => Mux12.IN0 +ctrl_i[44] => Mux13.IN0 +ctrl_i[44] => Mux14.IN0 +ctrl_i[44] => Mux15.IN0 +ctrl_i[44] => Mux16.IN0 +ctrl_i[44] => Mux17.IN3 +ctrl_i[44] => Mux18.IN3 +ctrl_i[44] => Mux19.IN4 +ctrl_i[44] => Mux20.IN4 +ctrl_i[44] => Mux30.IN2 +ctrl_i[44] => Mux31.IN2 +ctrl_i[44] => Mux32.IN2 +ctrl_i[44] => Mux33.IN2 +ctrl_i[44] => Mux34.IN2 +ctrl_i[44] => Mux35.IN2 +ctrl_i[44] => Mux36.IN2 +ctrl_i[44] => Mux37.IN2 +ctrl_i[44] => Mux38.IN2 +ctrl_i[44] => Mux39.IN2 +ctrl_i[44] => Mux40.IN2 +ctrl_i[44] => Mux41.IN2 +ctrl_i[44] => Mux42.IN2 +ctrl_i[44] => Mux43.IN2 +ctrl_i[44] => Mux44.IN2 +ctrl_i[44] => Mux45.IN2 +ctrl_i[44] => Mux46.IN2 +ctrl_i[44] => Mux47.IN2 +ctrl_i[44] => Mux48.IN2 +ctrl_i[44] => Mux49.IN2 +ctrl_i[44] => Mux50.IN2 +ctrl_i[44] => Mux51.IN2 +ctrl_i[44] => Mux52.IN2 +ctrl_i[44] => Mux53.IN2 +ctrl_i[44] => Mux54.IN2 +ctrl_i[44] => Mux55.IN2 +ctrl_i[44] => Mux56.IN2 +ctrl_i[44] => Mux57.IN2 +ctrl_i[44] => Mux58.IN2 +ctrl_i[44] => Mux59.IN2 +ctrl_i[44] => Mux60.IN2 +ctrl_i[44] => Mux61.IN2 +ctrl_i[45] => rdata_o.IN0 +ctrl_i[45] => rdata_o.IN0 +ctrl_i[45] => rdata_o.IN1 +ctrl_i[46] => ~NO_FANOUT~ +ctrl_i[47] => ~NO_FANOUT~ +ctrl_i[48] => ~NO_FANOUT~ +ctrl_i[49] => ~NO_FANOUT~ +ctrl_i[50] => ~NO_FANOUT~ +ctrl_i[51] => ~NO_FANOUT~ +ctrl_i[52] => ~NO_FANOUT~ +ctrl_i[53] => ~NO_FANOUT~ +ctrl_i[54] => ~NO_FANOUT~ +ctrl_i[55] => ~NO_FANOUT~ +ctrl_i[56] => ~NO_FANOUT~ +ctrl_i[57] => ~NO_FANOUT~ +ctrl_i[58] => ~NO_FANOUT~ +ctrl_i[59] => ~NO_FANOUT~ +ctrl_i[60] => ~NO_FANOUT~ +ctrl_i[61] => ~NO_FANOUT~ +ctrl_i[62] => ~NO_FANOUT~ +ctrl_i[63] => data_access_arbiter.IN1 +ctrl_i[63] => ma_store_o.IN1 +ctrl_i[63] => d_bus_we_o.IN1 +ctrl_i[63] => ma_load_o.IN1 +ctrl_i[63] => d_bus_re_o.IN1 +ctrl_i[63] => data_access_arbiter.IN1 +ctrl_i[64] => ~NO_FANOUT~ +ctrl_i[65] => ~NO_FANOUT~ +ctrl_i[66] => ~NO_FANOUT~ +ctrl_i[67] => data_access_arbiter.IN0 +ctrl_i[68] => ~NO_FANOUT~ fetch_pc_i[0] => ~NO_FANOUT~ fetch_pc_i[1] => ~NO_FANOUT~ fetch_pc_i[2] => ~NO_FANOUT~ @@ -9269,8 +10598,8 @@ d_bus_re_o <= d_bus_re_o.DB_MAX_OUTPUT_PORT_TYPE d_bus_ack_i => data_access_arbiter.IN1 d_bus_ack_i => d_wait_o.DATAIN d_bus_err_i => data_access_arbiter.IN1 -d_bus_fence_o <= ctrl_i.bus_fence.DB_MAX_OUTPUT_PORT_TYPE -d_bus_priv_o <= ctrl_i.bus_priv.DB_MAX_OUTPUT_PORT_TYPE +d_bus_fence_o <= ctrl_i[40].DB_MAX_OUTPUT_PORT_TYPE +d_bus_priv_o <= ctrl_i[42].DB_MAX_OUTPUT_PORT_TYPE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst @@ -9851,7 +11180,7 @@ bus_xip_i => ignore.IN1 |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst -clk_i => mem_ram_b0~20.CLK +clk_i => mem_ram_b0~21.CLK clk_i => mem_ram_b0~0.CLK clk_i => mem_ram_b0~1.CLK clk_i => mem_ram_b0~2.CLK @@ -9872,6 +11201,7 @@ clk_i => mem_ram_b0~16.CLK clk_i => mem_ram_b0~17.CLK clk_i => mem_ram_b0~18.CLK clk_i => mem_ram_b0~19.CLK +clk_i => mem_ram_b0~20.CLK clk_i => mem_ram_b1~0.CLK clk_i => mem_ram_b1~1.CLK clk_i => mem_ram_b1~2.CLK @@ -9893,6 +11223,7 @@ clk_i => mem_ram_b1~17.CLK clk_i => mem_ram_b1~18.CLK clk_i => mem_ram_b1~19.CLK clk_i => mem_ram_b1~20.CLK +clk_i => mem_ram_b1~21.CLK clk_i => mem_ram_b2~0.CLK clk_i => mem_ram_b2~1.CLK clk_i => mem_ram_b2~2.CLK @@ -9914,6 +11245,7 @@ clk_i => mem_ram_b2~17.CLK clk_i => mem_ram_b2~18.CLK clk_i => mem_ram_b2~19.CLK clk_i => mem_ram_b2~20.CLK +clk_i => mem_ram_b2~21.CLK clk_i => mem_ram_b3~0.CLK clk_i => mem_ram_b3~1.CLK clk_i => mem_ram_b3~2.CLK @@ -9935,6 +11267,7 @@ clk_i => mem_ram_b3~17.CLK clk_i => mem_ram_b3~18.CLK clk_i => mem_ram_b3~19.CLK clk_i => mem_ram_b3~20.CLK +clk_i => mem_ram_b3~21.CLK clk_i => err_o~reg0.CLK clk_i => ack_o~reg0.CLK clk_i => rden.CLK @@ -9987,10 +11320,10 @@ ben_i[2] => mem_access.IN1 ben_i[3] => mem_access.IN1 addr_i[0] => ~NO_FANOUT~ addr_i[1] => ~NO_FANOUT~ -addr_i[2] => mem_ram_b0~11.DATAIN -addr_i[2] => mem_ram_b1~12.DATAIN -addr_i[2] => mem_ram_b2~12.DATAIN -addr_i[2] => mem_ram_b3~12.DATAIN +addr_i[2] => mem_ram_b0~12.DATAIN +addr_i[2] => mem_ram_b1~13.DATAIN +addr_i[2] => mem_ram_b2~13.DATAIN +addr_i[2] => mem_ram_b3~13.DATAIN addr_i[2] => mem_ram_b0.WADDR addr_i[2] => mem_ram_b0.RADDR addr_i[2] => mem_ram_b1.WADDR @@ -9999,10 +11332,10 @@ addr_i[2] => mem_ram_b2.WADDR addr_i[2] => mem_ram_b2.RADDR addr_i[2] => mem_ram_b3.WADDR addr_i[2] => mem_ram_b3.RADDR -addr_i[3] => mem_ram_b0~10.DATAIN -addr_i[3] => mem_ram_b1~11.DATAIN -addr_i[3] => mem_ram_b2~11.DATAIN -addr_i[3] => mem_ram_b3~11.DATAIN +addr_i[3] => mem_ram_b0~11.DATAIN +addr_i[3] => mem_ram_b1~12.DATAIN +addr_i[3] => mem_ram_b2~12.DATAIN +addr_i[3] => mem_ram_b3~12.DATAIN addr_i[3] => mem_ram_b0.WADDR1 addr_i[3] => mem_ram_b0.RADDR1 addr_i[3] => mem_ram_b1.WADDR1 @@ -10011,10 +11344,10 @@ addr_i[3] => mem_ram_b2.WADDR1 addr_i[3] => mem_ram_b2.RADDR1 addr_i[3] => mem_ram_b3.WADDR1 addr_i[3] => mem_ram_b3.RADDR1 -addr_i[4] => mem_ram_b0~9.DATAIN -addr_i[4] => mem_ram_b1~10.DATAIN -addr_i[4] => mem_ram_b2~10.DATAIN -addr_i[4] => mem_ram_b3~10.DATAIN +addr_i[4] => mem_ram_b0~10.DATAIN +addr_i[4] => mem_ram_b1~11.DATAIN +addr_i[4] => mem_ram_b2~11.DATAIN +addr_i[4] => mem_ram_b3~11.DATAIN addr_i[4] => mem_ram_b0.WADDR2 addr_i[4] => mem_ram_b0.RADDR2 addr_i[4] => mem_ram_b1.WADDR2 @@ -10023,10 +11356,10 @@ addr_i[4] => mem_ram_b2.WADDR2 addr_i[4] => mem_ram_b2.RADDR2 addr_i[4] => mem_ram_b3.WADDR2 addr_i[4] => mem_ram_b3.RADDR2 -addr_i[5] => mem_ram_b0~8.DATAIN -addr_i[5] => mem_ram_b1~9.DATAIN -addr_i[5] => mem_ram_b2~9.DATAIN -addr_i[5] => mem_ram_b3~9.DATAIN +addr_i[5] => mem_ram_b0~9.DATAIN +addr_i[5] => mem_ram_b1~10.DATAIN +addr_i[5] => mem_ram_b2~10.DATAIN +addr_i[5] => mem_ram_b3~10.DATAIN addr_i[5] => mem_ram_b0.WADDR3 addr_i[5] => mem_ram_b0.RADDR3 addr_i[5] => mem_ram_b1.WADDR3 @@ -10035,10 +11368,10 @@ addr_i[5] => mem_ram_b2.WADDR3 addr_i[5] => mem_ram_b2.RADDR3 addr_i[5] => mem_ram_b3.WADDR3 addr_i[5] => mem_ram_b3.RADDR3 -addr_i[6] => mem_ram_b0~7.DATAIN -addr_i[6] => mem_ram_b1~8.DATAIN -addr_i[6] => mem_ram_b2~8.DATAIN -addr_i[6] => mem_ram_b3~8.DATAIN +addr_i[6] => mem_ram_b0~8.DATAIN +addr_i[6] => mem_ram_b1~9.DATAIN +addr_i[6] => mem_ram_b2~9.DATAIN +addr_i[6] => mem_ram_b3~9.DATAIN addr_i[6] => mem_ram_b0.WADDR4 addr_i[6] => mem_ram_b0.RADDR4 addr_i[6] => mem_ram_b1.WADDR4 @@ -10047,10 +11380,10 @@ addr_i[6] => mem_ram_b2.WADDR4 addr_i[6] => mem_ram_b2.RADDR4 addr_i[6] => mem_ram_b3.WADDR4 addr_i[6] => mem_ram_b3.RADDR4 -addr_i[7] => mem_ram_b0~6.DATAIN -addr_i[7] => mem_ram_b1~7.DATAIN -addr_i[7] => mem_ram_b2~7.DATAIN -addr_i[7] => mem_ram_b3~7.DATAIN +addr_i[7] => mem_ram_b0~7.DATAIN +addr_i[7] => mem_ram_b1~8.DATAIN +addr_i[7] => mem_ram_b2~8.DATAIN +addr_i[7] => mem_ram_b3~8.DATAIN addr_i[7] => mem_ram_b0.WADDR5 addr_i[7] => mem_ram_b0.RADDR5 addr_i[7] => mem_ram_b1.WADDR5 @@ -10059,10 +11392,10 @@ addr_i[7] => mem_ram_b2.WADDR5 addr_i[7] => mem_ram_b2.RADDR5 addr_i[7] => mem_ram_b3.WADDR5 addr_i[7] => mem_ram_b3.RADDR5 -addr_i[8] => mem_ram_b0~5.DATAIN -addr_i[8] => mem_ram_b1~6.DATAIN -addr_i[8] => mem_ram_b2~6.DATAIN -addr_i[8] => mem_ram_b3~6.DATAIN +addr_i[8] => mem_ram_b0~6.DATAIN +addr_i[8] => mem_ram_b1~7.DATAIN +addr_i[8] => mem_ram_b2~7.DATAIN +addr_i[8] => mem_ram_b3~7.DATAIN addr_i[8] => mem_ram_b0.WADDR6 addr_i[8] => mem_ram_b0.RADDR6 addr_i[8] => mem_ram_b1.WADDR6 @@ -10071,10 +11404,10 @@ addr_i[8] => mem_ram_b2.WADDR6 addr_i[8] => mem_ram_b2.RADDR6 addr_i[8] => mem_ram_b3.WADDR6 addr_i[8] => mem_ram_b3.RADDR6 -addr_i[9] => mem_ram_b0~4.DATAIN -addr_i[9] => mem_ram_b1~5.DATAIN -addr_i[9] => mem_ram_b2~5.DATAIN -addr_i[9] => mem_ram_b3~5.DATAIN +addr_i[9] => mem_ram_b0~5.DATAIN +addr_i[9] => mem_ram_b1~6.DATAIN +addr_i[9] => mem_ram_b2~6.DATAIN +addr_i[9] => mem_ram_b3~6.DATAIN addr_i[9] => mem_ram_b0.WADDR7 addr_i[9] => mem_ram_b0.RADDR7 addr_i[9] => mem_ram_b1.WADDR7 @@ -10083,10 +11416,10 @@ addr_i[9] => mem_ram_b2.WADDR7 addr_i[9] => mem_ram_b2.RADDR7 addr_i[9] => mem_ram_b3.WADDR7 addr_i[9] => mem_ram_b3.RADDR7 -addr_i[10] => mem_ram_b0~3.DATAIN -addr_i[10] => mem_ram_b1~4.DATAIN -addr_i[10] => mem_ram_b2~4.DATAIN -addr_i[10] => mem_ram_b3~4.DATAIN +addr_i[10] => mem_ram_b0~4.DATAIN +addr_i[10] => mem_ram_b1~5.DATAIN +addr_i[10] => mem_ram_b2~5.DATAIN +addr_i[10] => mem_ram_b3~5.DATAIN addr_i[10] => mem_ram_b0.WADDR8 addr_i[10] => mem_ram_b0.RADDR8 addr_i[10] => mem_ram_b1.WADDR8 @@ -10095,10 +11428,10 @@ addr_i[10] => mem_ram_b2.WADDR8 addr_i[10] => mem_ram_b2.RADDR8 addr_i[10] => mem_ram_b3.WADDR8 addr_i[10] => mem_ram_b3.RADDR8 -addr_i[11] => mem_ram_b0~2.DATAIN -addr_i[11] => mem_ram_b1~3.DATAIN -addr_i[11] => mem_ram_b2~3.DATAIN -addr_i[11] => mem_ram_b3~3.DATAIN +addr_i[11] => mem_ram_b0~3.DATAIN +addr_i[11] => mem_ram_b1~4.DATAIN +addr_i[11] => mem_ram_b2~4.DATAIN +addr_i[11] => mem_ram_b3~4.DATAIN addr_i[11] => mem_ram_b0.WADDR9 addr_i[11] => mem_ram_b0.RADDR9 addr_i[11] => mem_ram_b1.WADDR9 @@ -10107,10 +11440,10 @@ addr_i[11] => mem_ram_b2.WADDR9 addr_i[11] => mem_ram_b2.RADDR9 addr_i[11] => mem_ram_b3.WADDR9 addr_i[11] => mem_ram_b3.RADDR9 -addr_i[12] => mem_ram_b0~1.DATAIN -addr_i[12] => mem_ram_b1~2.DATAIN -addr_i[12] => mem_ram_b2~2.DATAIN -addr_i[12] => mem_ram_b3~2.DATAIN +addr_i[12] => mem_ram_b0~2.DATAIN +addr_i[12] => mem_ram_b1~3.DATAIN +addr_i[12] => mem_ram_b2~3.DATAIN +addr_i[12] => mem_ram_b3~3.DATAIN addr_i[12] => mem_ram_b0.WADDR10 addr_i[12] => mem_ram_b0.RADDR10 addr_i[12] => mem_ram_b1.WADDR10 @@ -10119,10 +11452,10 @@ addr_i[12] => mem_ram_b2.WADDR10 addr_i[12] => mem_ram_b2.RADDR10 addr_i[12] => mem_ram_b3.WADDR10 addr_i[12] => mem_ram_b3.RADDR10 -addr_i[13] => mem_ram_b0~0.DATAIN -addr_i[13] => mem_ram_b1~1.DATAIN -addr_i[13] => mem_ram_b2~1.DATAIN -addr_i[13] => mem_ram_b3~1.DATAIN +addr_i[13] => mem_ram_b0~1.DATAIN +addr_i[13] => mem_ram_b1~2.DATAIN +addr_i[13] => mem_ram_b2~2.DATAIN +addr_i[13] => mem_ram_b3~2.DATAIN addr_i[13] => mem_ram_b0.WADDR11 addr_i[13] => mem_ram_b0.RADDR11 addr_i[13] => mem_ram_b1.WADDR11 @@ -10131,7 +11464,18 @@ addr_i[13] => mem_ram_b2.WADDR11 addr_i[13] => mem_ram_b2.RADDR11 addr_i[13] => mem_ram_b3.WADDR11 addr_i[13] => mem_ram_b3.RADDR11 -addr_i[14] => Equal0.IN17 +addr_i[14] => mem_ram_b0~0.DATAIN +addr_i[14] => mem_ram_b1~1.DATAIN +addr_i[14] => mem_ram_b2~1.DATAIN +addr_i[14] => mem_ram_b3~1.DATAIN +addr_i[14] => mem_ram_b0.WADDR12 +addr_i[14] => mem_ram_b0.RADDR12 +addr_i[14] => mem_ram_b1.WADDR12 +addr_i[14] => mem_ram_b1.RADDR12 +addr_i[14] => mem_ram_b2.WADDR12 +addr_i[14] => mem_ram_b2.RADDR12 +addr_i[14] => mem_ram_b3.WADDR12 +addr_i[14] => mem_ram_b3.RADDR12 addr_i[15] => Equal0.IN16 addr_i[16] => Equal0.IN15 addr_i[17] => Equal0.IN14 @@ -10149,69 +11493,69 @@ addr_i[28] => Equal0.IN3 addr_i[29] => Equal0.IN2 addr_i[30] => Equal0.IN1 addr_i[31] => Equal0.IN0 -data_i[0] => mem_ram_b0~19.DATAIN +data_i[0] => mem_ram_b0~20.DATAIN data_i[0] => mem_ram_b0.DATAIN -data_i[1] => mem_ram_b0~18.DATAIN +data_i[1] => mem_ram_b0~19.DATAIN data_i[1] => mem_ram_b0.DATAIN1 -data_i[2] => mem_ram_b0~17.DATAIN +data_i[2] => mem_ram_b0~18.DATAIN data_i[2] => mem_ram_b0.DATAIN2 -data_i[3] => mem_ram_b0~16.DATAIN +data_i[3] => mem_ram_b0~17.DATAIN data_i[3] => mem_ram_b0.DATAIN3 -data_i[4] => mem_ram_b0~15.DATAIN +data_i[4] => mem_ram_b0~16.DATAIN data_i[4] => mem_ram_b0.DATAIN4 -data_i[5] => mem_ram_b0~14.DATAIN +data_i[5] => mem_ram_b0~15.DATAIN data_i[5] => mem_ram_b0.DATAIN5 -data_i[6] => mem_ram_b0~13.DATAIN +data_i[6] => mem_ram_b0~14.DATAIN data_i[6] => mem_ram_b0.DATAIN6 -data_i[7] => mem_ram_b0~12.DATAIN +data_i[7] => mem_ram_b0~13.DATAIN data_i[7] => mem_ram_b0.DATAIN7 -data_i[8] => mem_ram_b1~20.DATAIN +data_i[8] => mem_ram_b1~21.DATAIN data_i[8] => mem_ram_b1.DATAIN -data_i[9] => mem_ram_b1~19.DATAIN +data_i[9] => mem_ram_b1~20.DATAIN data_i[9] => mem_ram_b1.DATAIN1 -data_i[10] => mem_ram_b1~18.DATAIN +data_i[10] => mem_ram_b1~19.DATAIN data_i[10] => mem_ram_b1.DATAIN2 -data_i[11] => mem_ram_b1~17.DATAIN +data_i[11] => mem_ram_b1~18.DATAIN data_i[11] => mem_ram_b1.DATAIN3 -data_i[12] => mem_ram_b1~16.DATAIN +data_i[12] => mem_ram_b1~17.DATAIN data_i[12] => mem_ram_b1.DATAIN4 -data_i[13] => mem_ram_b1~15.DATAIN +data_i[13] => mem_ram_b1~16.DATAIN data_i[13] => mem_ram_b1.DATAIN5 -data_i[14] => mem_ram_b1~14.DATAIN +data_i[14] => mem_ram_b1~15.DATAIN data_i[14] => mem_ram_b1.DATAIN6 -data_i[15] => mem_ram_b1~13.DATAIN +data_i[15] => mem_ram_b1~14.DATAIN data_i[15] => mem_ram_b1.DATAIN7 -data_i[16] => mem_ram_b2~20.DATAIN +data_i[16] => mem_ram_b2~21.DATAIN data_i[16] => mem_ram_b2.DATAIN -data_i[17] => mem_ram_b2~19.DATAIN +data_i[17] => mem_ram_b2~20.DATAIN data_i[17] => mem_ram_b2.DATAIN1 -data_i[18] => mem_ram_b2~18.DATAIN +data_i[18] => mem_ram_b2~19.DATAIN data_i[18] => mem_ram_b2.DATAIN2 -data_i[19] => mem_ram_b2~17.DATAIN +data_i[19] => mem_ram_b2~18.DATAIN data_i[19] => mem_ram_b2.DATAIN3 -data_i[20] => mem_ram_b2~16.DATAIN +data_i[20] => mem_ram_b2~17.DATAIN data_i[20] => mem_ram_b2.DATAIN4 -data_i[21] => mem_ram_b2~15.DATAIN +data_i[21] => mem_ram_b2~16.DATAIN data_i[21] => mem_ram_b2.DATAIN5 -data_i[22] => mem_ram_b2~14.DATAIN +data_i[22] => mem_ram_b2~15.DATAIN data_i[22] => mem_ram_b2.DATAIN6 -data_i[23] => mem_ram_b2~13.DATAIN +data_i[23] => mem_ram_b2~14.DATAIN data_i[23] => mem_ram_b2.DATAIN7 -data_i[24] => mem_ram_b3~20.DATAIN +data_i[24] => mem_ram_b3~21.DATAIN data_i[24] => mem_ram_b3.DATAIN -data_i[25] => mem_ram_b3~19.DATAIN +data_i[25] => mem_ram_b3~20.DATAIN data_i[25] => mem_ram_b3.DATAIN1 -data_i[26] => mem_ram_b3~18.DATAIN +data_i[26] => mem_ram_b3~19.DATAIN data_i[26] => mem_ram_b3.DATAIN2 -data_i[27] => mem_ram_b3~17.DATAIN +data_i[27] => mem_ram_b3~18.DATAIN data_i[27] => mem_ram_b3.DATAIN3 -data_i[28] => mem_ram_b3~16.DATAIN +data_i[28] => mem_ram_b3~17.DATAIN data_i[28] => mem_ram_b3.DATAIN4 -data_i[29] => mem_ram_b3~15.DATAIN +data_i[29] => mem_ram_b3~16.DATAIN data_i[29] => mem_ram_b3.DATAIN5 -data_i[30] => mem_ram_b3~14.DATAIN +data_i[30] => mem_ram_b3~15.DATAIN data_i[30] => mem_ram_b3.DATAIN6 -data_i[31] => mem_ram_b3~13.DATAIN +data_i[31] => mem_ram_b3~14.DATAIN data_i[31] => mem_ram_b3.DATAIN7 data_o[0] <= data_o.DB_MAX_OUTPUT_PORT_TYPE data_o[1] <= data_o.DB_MAX_OUTPUT_PORT_TYPE @@ -10250,7 +11594,7 @@ err_o <= err_o~reg0.DB_MAX_OUTPUT_PORT_TYPE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst -clk_i => mem_ram_b0~19.CLK +clk_i => mem_ram_b0~21.CLK clk_i => mem_ram_b0~0.CLK clk_i => mem_ram_b0~1.CLK clk_i => mem_ram_b0~2.CLK @@ -10270,6 +11614,8 @@ clk_i => mem_ram_b0~15.CLK clk_i => mem_ram_b0~16.CLK clk_i => mem_ram_b0~17.CLK clk_i => mem_ram_b0~18.CLK +clk_i => mem_ram_b0~19.CLK +clk_i => mem_ram_b0~20.CLK clk_i => mem_ram_b1~0.CLK clk_i => mem_ram_b1~1.CLK clk_i => mem_ram_b1~2.CLK @@ -10290,6 +11636,8 @@ clk_i => mem_ram_b1~16.CLK clk_i => mem_ram_b1~17.CLK clk_i => mem_ram_b1~18.CLK clk_i => mem_ram_b1~19.CLK +clk_i => mem_ram_b1~20.CLK +clk_i => mem_ram_b1~21.CLK clk_i => mem_ram_b2~0.CLK clk_i => mem_ram_b2~1.CLK clk_i => mem_ram_b2~2.CLK @@ -10310,6 +11658,8 @@ clk_i => mem_ram_b2~16.CLK clk_i => mem_ram_b2~17.CLK clk_i => mem_ram_b2~18.CLK clk_i => mem_ram_b2~19.CLK +clk_i => mem_ram_b2~20.CLK +clk_i => mem_ram_b2~21.CLK clk_i => mem_ram_b3~0.CLK clk_i => mem_ram_b3~1.CLK clk_i => mem_ram_b3~2.CLK @@ -10330,6 +11680,8 @@ clk_i => mem_ram_b3~16.CLK clk_i => mem_ram_b3~17.CLK clk_i => mem_ram_b3~18.CLK clk_i => mem_ram_b3~19.CLK +clk_i => mem_ram_b3~20.CLK +clk_i => mem_ram_b3~21.CLK clk_i => ack_o~reg0.CLK clk_i => rden.CLK clk_i => mem_ram_b3_rd[0].CLK @@ -10381,10 +11733,10 @@ ben_i[2] => mem_access.IN1 ben_i[3] => mem_access.IN1 addr_i[0] => ~NO_FANOUT~ addr_i[1] => ~NO_FANOUT~ -addr_i[2] => mem_ram_b0~10.DATAIN -addr_i[2] => mem_ram_b1~11.DATAIN -addr_i[2] => mem_ram_b2~11.DATAIN -addr_i[2] => mem_ram_b3~11.DATAIN +addr_i[2] => mem_ram_b0~12.DATAIN +addr_i[2] => mem_ram_b1~13.DATAIN +addr_i[2] => mem_ram_b2~13.DATAIN +addr_i[2] => mem_ram_b3~13.DATAIN addr_i[2] => mem_ram_b0.WADDR addr_i[2] => mem_ram_b0.RADDR addr_i[2] => mem_ram_b1.WADDR @@ -10393,10 +11745,10 @@ addr_i[2] => mem_ram_b2.WADDR addr_i[2] => mem_ram_b2.RADDR addr_i[2] => mem_ram_b3.WADDR addr_i[2] => mem_ram_b3.RADDR -addr_i[3] => mem_ram_b0~9.DATAIN -addr_i[3] => mem_ram_b1~10.DATAIN -addr_i[3] => mem_ram_b2~10.DATAIN -addr_i[3] => mem_ram_b3~10.DATAIN +addr_i[3] => mem_ram_b0~11.DATAIN +addr_i[3] => mem_ram_b1~12.DATAIN +addr_i[3] => mem_ram_b2~12.DATAIN +addr_i[3] => mem_ram_b3~12.DATAIN addr_i[3] => mem_ram_b0.WADDR1 addr_i[3] => mem_ram_b0.RADDR1 addr_i[3] => mem_ram_b1.WADDR1 @@ -10405,10 +11757,10 @@ addr_i[3] => mem_ram_b2.WADDR1 addr_i[3] => mem_ram_b2.RADDR1 addr_i[3] => mem_ram_b3.WADDR1 addr_i[3] => mem_ram_b3.RADDR1 -addr_i[4] => mem_ram_b0~8.DATAIN -addr_i[4] => mem_ram_b1~9.DATAIN -addr_i[4] => mem_ram_b2~9.DATAIN -addr_i[4] => mem_ram_b3~9.DATAIN +addr_i[4] => mem_ram_b0~10.DATAIN +addr_i[4] => mem_ram_b1~11.DATAIN +addr_i[4] => mem_ram_b2~11.DATAIN +addr_i[4] => mem_ram_b3~11.DATAIN addr_i[4] => mem_ram_b0.WADDR2 addr_i[4] => mem_ram_b0.RADDR2 addr_i[4] => mem_ram_b1.WADDR2 @@ -10417,10 +11769,10 @@ addr_i[4] => mem_ram_b2.WADDR2 addr_i[4] => mem_ram_b2.RADDR2 addr_i[4] => mem_ram_b3.WADDR2 addr_i[4] => mem_ram_b3.RADDR2 -addr_i[5] => mem_ram_b0~7.DATAIN -addr_i[5] => mem_ram_b1~8.DATAIN -addr_i[5] => mem_ram_b2~8.DATAIN -addr_i[5] => mem_ram_b3~8.DATAIN +addr_i[5] => mem_ram_b0~9.DATAIN +addr_i[5] => mem_ram_b1~10.DATAIN +addr_i[5] => mem_ram_b2~10.DATAIN +addr_i[5] => mem_ram_b3~10.DATAIN addr_i[5] => mem_ram_b0.WADDR3 addr_i[5] => mem_ram_b0.RADDR3 addr_i[5] => mem_ram_b1.WADDR3 @@ -10429,10 +11781,10 @@ addr_i[5] => mem_ram_b2.WADDR3 addr_i[5] => mem_ram_b2.RADDR3 addr_i[5] => mem_ram_b3.WADDR3 addr_i[5] => mem_ram_b3.RADDR3 -addr_i[6] => mem_ram_b0~6.DATAIN -addr_i[6] => mem_ram_b1~7.DATAIN -addr_i[6] => mem_ram_b2~7.DATAIN -addr_i[6] => mem_ram_b3~7.DATAIN +addr_i[6] => mem_ram_b0~8.DATAIN +addr_i[6] => mem_ram_b1~9.DATAIN +addr_i[6] => mem_ram_b2~9.DATAIN +addr_i[6] => mem_ram_b3~9.DATAIN addr_i[6] => mem_ram_b0.WADDR4 addr_i[6] => mem_ram_b0.RADDR4 addr_i[6] => mem_ram_b1.WADDR4 @@ -10441,10 +11793,10 @@ addr_i[6] => mem_ram_b2.WADDR4 addr_i[6] => mem_ram_b2.RADDR4 addr_i[6] => mem_ram_b3.WADDR4 addr_i[6] => mem_ram_b3.RADDR4 -addr_i[7] => mem_ram_b0~5.DATAIN -addr_i[7] => mem_ram_b1~6.DATAIN -addr_i[7] => mem_ram_b2~6.DATAIN -addr_i[7] => mem_ram_b3~6.DATAIN +addr_i[7] => mem_ram_b0~7.DATAIN +addr_i[7] => mem_ram_b1~8.DATAIN +addr_i[7] => mem_ram_b2~8.DATAIN +addr_i[7] => mem_ram_b3~8.DATAIN addr_i[7] => mem_ram_b0.WADDR5 addr_i[7] => mem_ram_b0.RADDR5 addr_i[7] => mem_ram_b1.WADDR5 @@ -10453,10 +11805,10 @@ addr_i[7] => mem_ram_b2.WADDR5 addr_i[7] => mem_ram_b2.RADDR5 addr_i[7] => mem_ram_b3.WADDR5 addr_i[7] => mem_ram_b3.RADDR5 -addr_i[8] => mem_ram_b0~4.DATAIN -addr_i[8] => mem_ram_b1~5.DATAIN -addr_i[8] => mem_ram_b2~5.DATAIN -addr_i[8] => mem_ram_b3~5.DATAIN +addr_i[8] => mem_ram_b0~6.DATAIN +addr_i[8] => mem_ram_b1~7.DATAIN +addr_i[8] => mem_ram_b2~7.DATAIN +addr_i[8] => mem_ram_b3~7.DATAIN addr_i[8] => mem_ram_b0.WADDR6 addr_i[8] => mem_ram_b0.RADDR6 addr_i[8] => mem_ram_b1.WADDR6 @@ -10465,10 +11817,10 @@ addr_i[8] => mem_ram_b2.WADDR6 addr_i[8] => mem_ram_b2.RADDR6 addr_i[8] => mem_ram_b3.WADDR6 addr_i[8] => mem_ram_b3.RADDR6 -addr_i[9] => mem_ram_b0~3.DATAIN -addr_i[9] => mem_ram_b1~4.DATAIN -addr_i[9] => mem_ram_b2~4.DATAIN -addr_i[9] => mem_ram_b3~4.DATAIN +addr_i[9] => mem_ram_b0~5.DATAIN +addr_i[9] => mem_ram_b1~6.DATAIN +addr_i[9] => mem_ram_b2~6.DATAIN +addr_i[9] => mem_ram_b3~6.DATAIN addr_i[9] => mem_ram_b0.WADDR7 addr_i[9] => mem_ram_b0.RADDR7 addr_i[9] => mem_ram_b1.WADDR7 @@ -10477,10 +11829,10 @@ addr_i[9] => mem_ram_b2.WADDR7 addr_i[9] => mem_ram_b2.RADDR7 addr_i[9] => mem_ram_b3.WADDR7 addr_i[9] => mem_ram_b3.RADDR7 -addr_i[10] => mem_ram_b0~2.DATAIN -addr_i[10] => mem_ram_b1~3.DATAIN -addr_i[10] => mem_ram_b2~3.DATAIN -addr_i[10] => mem_ram_b3~3.DATAIN +addr_i[10] => mem_ram_b0~4.DATAIN +addr_i[10] => mem_ram_b1~5.DATAIN +addr_i[10] => mem_ram_b2~5.DATAIN +addr_i[10] => mem_ram_b3~5.DATAIN addr_i[10] => mem_ram_b0.WADDR8 addr_i[10] => mem_ram_b0.RADDR8 addr_i[10] => mem_ram_b1.WADDR8 @@ -10489,10 +11841,10 @@ addr_i[10] => mem_ram_b2.WADDR8 addr_i[10] => mem_ram_b2.RADDR8 addr_i[10] => mem_ram_b3.WADDR8 addr_i[10] => mem_ram_b3.RADDR8 -addr_i[11] => mem_ram_b0~1.DATAIN -addr_i[11] => mem_ram_b1~2.DATAIN -addr_i[11] => mem_ram_b2~2.DATAIN -addr_i[11] => mem_ram_b3~2.DATAIN +addr_i[11] => mem_ram_b0~3.DATAIN +addr_i[11] => mem_ram_b1~4.DATAIN +addr_i[11] => mem_ram_b2~4.DATAIN +addr_i[11] => mem_ram_b3~4.DATAIN addr_i[11] => mem_ram_b0.WADDR9 addr_i[11] => mem_ram_b0.RADDR9 addr_i[11] => mem_ram_b1.WADDR9 @@ -10501,10 +11853,10 @@ addr_i[11] => mem_ram_b2.WADDR9 addr_i[11] => mem_ram_b2.RADDR9 addr_i[11] => mem_ram_b3.WADDR9 addr_i[11] => mem_ram_b3.RADDR9 -addr_i[12] => mem_ram_b0~0.DATAIN -addr_i[12] => mem_ram_b1~1.DATAIN -addr_i[12] => mem_ram_b2~1.DATAIN -addr_i[12] => mem_ram_b3~1.DATAIN +addr_i[12] => mem_ram_b0~2.DATAIN +addr_i[12] => mem_ram_b1~3.DATAIN +addr_i[12] => mem_ram_b2~3.DATAIN +addr_i[12] => mem_ram_b3~3.DATAIN addr_i[12] => mem_ram_b0.WADDR10 addr_i[12] => mem_ram_b0.RADDR10 addr_i[12] => mem_ram_b1.WADDR10 @@ -10513,8 +11865,30 @@ addr_i[12] => mem_ram_b2.WADDR10 addr_i[12] => mem_ram_b2.RADDR10 addr_i[12] => mem_ram_b3.WADDR10 addr_i[12] => mem_ram_b3.RADDR10 -addr_i[13] => Equal0.IN17 -addr_i[14] => Equal0.IN16 +addr_i[13] => mem_ram_b0~1.DATAIN +addr_i[13] => mem_ram_b1~2.DATAIN +addr_i[13] => mem_ram_b2~2.DATAIN +addr_i[13] => mem_ram_b3~2.DATAIN +addr_i[13] => mem_ram_b0.WADDR11 +addr_i[13] => mem_ram_b0.RADDR11 +addr_i[13] => mem_ram_b1.WADDR11 +addr_i[13] => mem_ram_b1.RADDR11 +addr_i[13] => mem_ram_b2.WADDR11 +addr_i[13] => mem_ram_b2.RADDR11 +addr_i[13] => mem_ram_b3.WADDR11 +addr_i[13] => mem_ram_b3.RADDR11 +addr_i[14] => mem_ram_b0~0.DATAIN +addr_i[14] => mem_ram_b1~1.DATAIN +addr_i[14] => mem_ram_b2~1.DATAIN +addr_i[14] => mem_ram_b3~1.DATAIN +addr_i[14] => mem_ram_b0.WADDR12 +addr_i[14] => mem_ram_b0.RADDR12 +addr_i[14] => mem_ram_b1.WADDR12 +addr_i[14] => mem_ram_b1.RADDR12 +addr_i[14] => mem_ram_b2.WADDR12 +addr_i[14] => mem_ram_b2.RADDR12 +addr_i[14] => mem_ram_b3.WADDR12 +addr_i[14] => mem_ram_b3.RADDR12 addr_i[15] => Equal0.IN15 addr_i[16] => Equal0.IN14 addr_i[17] => Equal0.IN13 @@ -10531,70 +11905,70 @@ addr_i[27] => Equal0.IN3 addr_i[28] => Equal0.IN2 addr_i[29] => Equal0.IN1 addr_i[30] => Equal0.IN0 -addr_i[31] => Equal0.IN18 -data_i[0] => mem_ram_b0~18.DATAIN +addr_i[31] => Equal0.IN16 +data_i[0] => mem_ram_b0~20.DATAIN data_i[0] => mem_ram_b0.DATAIN -data_i[1] => mem_ram_b0~17.DATAIN +data_i[1] => mem_ram_b0~19.DATAIN data_i[1] => mem_ram_b0.DATAIN1 -data_i[2] => mem_ram_b0~16.DATAIN +data_i[2] => mem_ram_b0~18.DATAIN data_i[2] => mem_ram_b0.DATAIN2 -data_i[3] => mem_ram_b0~15.DATAIN +data_i[3] => mem_ram_b0~17.DATAIN data_i[3] => mem_ram_b0.DATAIN3 -data_i[4] => mem_ram_b0~14.DATAIN +data_i[4] => mem_ram_b0~16.DATAIN data_i[4] => mem_ram_b0.DATAIN4 -data_i[5] => mem_ram_b0~13.DATAIN +data_i[5] => mem_ram_b0~15.DATAIN data_i[5] => mem_ram_b0.DATAIN5 -data_i[6] => mem_ram_b0~12.DATAIN +data_i[6] => mem_ram_b0~14.DATAIN data_i[6] => mem_ram_b0.DATAIN6 -data_i[7] => mem_ram_b0~11.DATAIN +data_i[7] => mem_ram_b0~13.DATAIN data_i[7] => mem_ram_b0.DATAIN7 -data_i[8] => mem_ram_b1~19.DATAIN +data_i[8] => mem_ram_b1~21.DATAIN data_i[8] => mem_ram_b1.DATAIN -data_i[9] => mem_ram_b1~18.DATAIN +data_i[9] => mem_ram_b1~20.DATAIN data_i[9] => mem_ram_b1.DATAIN1 -data_i[10] => mem_ram_b1~17.DATAIN +data_i[10] => mem_ram_b1~19.DATAIN data_i[10] => mem_ram_b1.DATAIN2 -data_i[11] => mem_ram_b1~16.DATAIN +data_i[11] => mem_ram_b1~18.DATAIN data_i[11] => mem_ram_b1.DATAIN3 -data_i[12] => mem_ram_b1~15.DATAIN +data_i[12] => mem_ram_b1~17.DATAIN data_i[12] => mem_ram_b1.DATAIN4 -data_i[13] => mem_ram_b1~14.DATAIN +data_i[13] => mem_ram_b1~16.DATAIN data_i[13] => mem_ram_b1.DATAIN5 -data_i[14] => mem_ram_b1~13.DATAIN +data_i[14] => mem_ram_b1~15.DATAIN data_i[14] => mem_ram_b1.DATAIN6 -data_i[15] => mem_ram_b1~12.DATAIN +data_i[15] => mem_ram_b1~14.DATAIN data_i[15] => mem_ram_b1.DATAIN7 -data_i[16] => mem_ram_b2~19.DATAIN +data_i[16] => mem_ram_b2~21.DATAIN data_i[16] => mem_ram_b2.DATAIN -data_i[17] => mem_ram_b2~18.DATAIN +data_i[17] => mem_ram_b2~20.DATAIN data_i[17] => mem_ram_b2.DATAIN1 -data_i[18] => mem_ram_b2~17.DATAIN +data_i[18] => mem_ram_b2~19.DATAIN data_i[18] => mem_ram_b2.DATAIN2 -data_i[19] => mem_ram_b2~16.DATAIN +data_i[19] => mem_ram_b2~18.DATAIN data_i[19] => mem_ram_b2.DATAIN3 -data_i[20] => mem_ram_b2~15.DATAIN +data_i[20] => mem_ram_b2~17.DATAIN data_i[20] => mem_ram_b2.DATAIN4 -data_i[21] => mem_ram_b2~14.DATAIN +data_i[21] => mem_ram_b2~16.DATAIN data_i[21] => mem_ram_b2.DATAIN5 -data_i[22] => mem_ram_b2~13.DATAIN +data_i[22] => mem_ram_b2~15.DATAIN data_i[22] => mem_ram_b2.DATAIN6 -data_i[23] => mem_ram_b2~12.DATAIN +data_i[23] => mem_ram_b2~14.DATAIN data_i[23] => mem_ram_b2.DATAIN7 -data_i[24] => mem_ram_b3~19.DATAIN +data_i[24] => mem_ram_b3~21.DATAIN data_i[24] => mem_ram_b3.DATAIN -data_i[25] => mem_ram_b3~18.DATAIN +data_i[25] => mem_ram_b3~20.DATAIN data_i[25] => mem_ram_b3.DATAIN1 -data_i[26] => mem_ram_b3~17.DATAIN +data_i[26] => mem_ram_b3~19.DATAIN data_i[26] => mem_ram_b3.DATAIN2 -data_i[27] => mem_ram_b3~16.DATAIN +data_i[27] => mem_ram_b3~18.DATAIN data_i[27] => mem_ram_b3.DATAIN3 -data_i[28] => mem_ram_b3~15.DATAIN +data_i[28] => mem_ram_b3~17.DATAIN data_i[28] => mem_ram_b3.DATAIN4 -data_i[29] => mem_ram_b3~14.DATAIN +data_i[29] => mem_ram_b3~16.DATAIN data_i[29] => mem_ram_b3.DATAIN5 -data_i[30] => mem_ram_b3~13.DATAIN +data_i[30] => mem_ram_b3~15.DATAIN data_i[30] => mem_ram_b3.DATAIN6 -data_i[31] => mem_ram_b3~12.DATAIN +data_i[31] => mem_ram_b3~14.DATAIN data_i[31] => mem_ram_b3.DATAIN7 data_o[0] <= data_o.DB_MAX_OUTPUT_PORT_TYPE data_o[1] <= data_o.DB_MAX_OUTPUT_PORT_TYPE @@ -11047,14 +12421,70 @@ err_o <= err_o~reg0.DB_MAX_OUTPUT_PORT_TYPE |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst -clk_i => din[0].CLK -clk_i => din[1].CLK -clk_i => din[2].CLK -clk_i => din[3].CLK -clk_i => din[4].CLK -clk_i => din[5].CLK -clk_i => din[6].CLK -clk_i => din[7].CLK +clk_i => din_hi[0].CLK +clk_i => din_hi[1].CLK +clk_i => din_hi[2].CLK +clk_i => din_hi[3].CLK +clk_i => din_hi[4].CLK +clk_i => din_hi[5].CLK +clk_i => din_hi[6].CLK +clk_i => din_hi[7].CLK +clk_i => din_hi[8].CLK +clk_i => din_hi[9].CLK +clk_i => din_hi[10].CLK +clk_i => din_hi[11].CLK +clk_i => din_hi[12].CLK +clk_i => din_hi[13].CLK +clk_i => din_hi[14].CLK +clk_i => din_hi[15].CLK +clk_i => din_hi[16].CLK +clk_i => din_hi[17].CLK +clk_i => din_hi[18].CLK +clk_i => din_hi[19].CLK +clk_i => din_hi[20].CLK +clk_i => din_hi[21].CLK +clk_i => din_hi[22].CLK +clk_i => din_hi[23].CLK +clk_i => din_hi[24].CLK +clk_i => din_hi[25].CLK +clk_i => din_hi[26].CLK +clk_i => din_hi[27].CLK +clk_i => din_hi[28].CLK +clk_i => din_hi[29].CLK +clk_i => din_hi[30].CLK +clk_i => din_hi[31].CLK +clk_i => din_lo[0].CLK +clk_i => din_lo[1].CLK +clk_i => din_lo[2].CLK +clk_i => din_lo[3].CLK +clk_i => din_lo[4].CLK +clk_i => din_lo[5].CLK +clk_i => din_lo[6].CLK +clk_i => din_lo[7].CLK +clk_i => din_lo[8].CLK +clk_i => din_lo[9].CLK +clk_i => din_lo[10].CLK +clk_i => din_lo[11].CLK +clk_i => din_lo[12].CLK +clk_i => din_lo[13].CLK +clk_i => din_lo[14].CLK +clk_i => din_lo[15].CLK +clk_i => din_lo[16].CLK +clk_i => din_lo[17].CLK +clk_i => din_lo[18].CLK +clk_i => din_lo[19].CLK +clk_i => din_lo[20].CLK +clk_i => din_lo[21].CLK +clk_i => din_lo[22].CLK +clk_i => din_lo[23].CLK +clk_i => din_lo[24].CLK +clk_i => din_lo[25].CLK +clk_i => din_lo[26].CLK +clk_i => din_lo[27].CLK +clk_i => din_lo[28].CLK +clk_i => din_lo[29].CLK +clk_i => din_lo[30].CLK +clk_i => din_lo[31].CLK clk_i => data_o[0]~reg0.CLK clk_i => data_o[1]~reg0.CLK clk_i => data_o[2]~reg0.CLK @@ -11087,43 +12517,208 @@ clk_i => data_o[28]~reg0.CLK clk_i => data_o[29]~reg0.CLK clk_i => data_o[30]~reg0.CLK clk_i => data_o[31]~reg0.CLK +clk_i => err_o~reg0.CLK clk_i => ack_o~reg0.CLK -clk_i => dout[0].CLK -clk_i => dout[1].CLK -clk_i => dout[2].CLK -clk_i => dout[3].CLK -clk_i => dout[4].CLK -clk_i => dout[5].CLK -clk_i => dout[6].CLK -clk_i => dout[7].CLK -rstn_i => dout[0].ACLR -rstn_i => dout[1].ACLR -rstn_i => dout[2].ACLR -rstn_i => dout[3].ACLR -rstn_i => dout[4].ACLR -rstn_i => dout[5].ACLR -rstn_i => dout[6].ACLR -rstn_i => dout[7].ACLR +clk_i => dout_hi[0].CLK +clk_i => dout_hi[1].CLK +clk_i => dout_hi[2].CLK +clk_i => dout_hi[3].CLK +clk_i => dout_hi[4].CLK +clk_i => dout_hi[5].CLK +clk_i => dout_hi[6].CLK +clk_i => dout_hi[7].CLK +clk_i => dout_hi[8].CLK +clk_i => dout_hi[9].CLK +clk_i => dout_hi[10].CLK +clk_i => dout_hi[11].CLK +clk_i => dout_hi[12].CLK +clk_i => dout_hi[13].CLK +clk_i => dout_hi[14].CLK +clk_i => dout_hi[15].CLK +clk_i => dout_hi[16].CLK +clk_i => dout_hi[17].CLK +clk_i => dout_hi[18].CLK +clk_i => dout_hi[19].CLK +clk_i => dout_hi[20].CLK +clk_i => dout_hi[21].CLK +clk_i => dout_hi[22].CLK +clk_i => dout_hi[23].CLK +clk_i => dout_hi[24].CLK +clk_i => dout_hi[25].CLK +clk_i => dout_hi[26].CLK +clk_i => dout_hi[27].CLK +clk_i => dout_hi[28].CLK +clk_i => dout_hi[29].CLK +clk_i => dout_hi[30].CLK +clk_i => dout_hi[31].CLK +clk_i => dout_lo[0].CLK +clk_i => dout_lo[1].CLK +clk_i => dout_lo[2].CLK +clk_i => dout_lo[3].CLK +clk_i => dout_lo[4].CLK +clk_i => dout_lo[5].CLK +clk_i => dout_lo[6].CLK +clk_i => dout_lo[7].CLK +clk_i => dout_lo[8].CLK +clk_i => dout_lo[9].CLK +clk_i => dout_lo[10].CLK +clk_i => dout_lo[11].CLK +clk_i => dout_lo[12].CLK +clk_i => dout_lo[13].CLK +clk_i => dout_lo[14].CLK +clk_i => dout_lo[15].CLK +clk_i => dout_lo[16].CLK +clk_i => dout_lo[17].CLK +clk_i => dout_lo[18].CLK +clk_i => dout_lo[19].CLK +clk_i => dout_lo[20].CLK +clk_i => dout_lo[21].CLK +clk_i => dout_lo[22].CLK +clk_i => dout_lo[23].CLK +clk_i => dout_lo[24].CLK +clk_i => dout_lo[25].CLK +clk_i => dout_lo[26].CLK +clk_i => dout_lo[27].CLK +clk_i => dout_lo[28].CLK +clk_i => dout_lo[29].CLK +clk_i => dout_lo[30].CLK +clk_i => dout_lo[31].CLK +rstn_i => dout_hi[0].ACLR +rstn_i => dout_hi[1].ACLR +rstn_i => dout_hi[2].ACLR +rstn_i => dout_hi[3].ACLR +rstn_i => dout_hi[4].ACLR +rstn_i => dout_hi[5].ACLR +rstn_i => dout_hi[6].ACLR +rstn_i => dout_hi[7].ACLR +rstn_i => dout_hi[8].ACLR +rstn_i => dout_hi[9].ACLR +rstn_i => dout_hi[10].ACLR +rstn_i => dout_hi[11].ACLR +rstn_i => dout_hi[12].ACLR +rstn_i => dout_hi[13].ACLR +rstn_i => dout_hi[14].ACLR +rstn_i => dout_hi[15].ACLR +rstn_i => dout_hi[16].ACLR +rstn_i => dout_hi[17].ACLR +rstn_i => dout_hi[18].ACLR +rstn_i => dout_hi[19].ACLR +rstn_i => dout_hi[20].ACLR +rstn_i => dout_hi[21].ACLR +rstn_i => dout_hi[22].ACLR +rstn_i => dout_hi[23].ACLR +rstn_i => dout_hi[24].ACLR +rstn_i => dout_hi[25].ACLR +rstn_i => dout_hi[26].ACLR +rstn_i => dout_hi[27].ACLR +rstn_i => dout_hi[28].ACLR +rstn_i => dout_hi[29].ACLR +rstn_i => dout_hi[30].ACLR +rstn_i => dout_hi[31].ACLR +rstn_i => dout_lo[0].ACLR +rstn_i => dout_lo[1].ACLR +rstn_i => dout_lo[2].ACLR +rstn_i => dout_lo[3].ACLR +rstn_i => dout_lo[4].ACLR +rstn_i => dout_lo[5].ACLR +rstn_i => dout_lo[6].ACLR +rstn_i => dout_lo[7].ACLR +rstn_i => dout_lo[8].ACLR +rstn_i => dout_lo[9].ACLR +rstn_i => dout_lo[10].ACLR +rstn_i => dout_lo[11].ACLR +rstn_i => dout_lo[12].ACLR +rstn_i => dout_lo[13].ACLR +rstn_i => dout_lo[14].ACLR +rstn_i => dout_lo[15].ACLR +rstn_i => dout_lo[16].ACLR +rstn_i => dout_lo[17].ACLR +rstn_i => dout_lo[18].ACLR +rstn_i => dout_lo[19].ACLR +rstn_i => dout_lo[20].ACLR +rstn_i => dout_lo[21].ACLR +rstn_i => dout_lo[22].ACLR +rstn_i => dout_lo[23].ACLR +rstn_i => dout_lo[24].ACLR +rstn_i => dout_lo[25].ACLR +rstn_i => dout_lo[26].ACLR +rstn_i => dout_lo[27].ACLR +rstn_i => dout_lo[28].ACLR +rstn_i => dout_lo[29].ACLR +rstn_i => dout_lo[30].ACLR +rstn_i => dout_lo[31].ACLR addr_i[0] => ~NO_FANOUT~ addr_i[1] => ~NO_FANOUT~ -addr_i[2] => Mux0.IN3 -addr_i[2] => Mux1.IN3 -addr_i[2] => Mux2.IN3 -addr_i[2] => Mux3.IN3 -addr_i[2] => Mux4.IN3 -addr_i[2] => Mux5.IN3 -addr_i[2] => Mux6.IN3 -addr_i[2] => Mux7.IN3 +addr_i[2] => Mux0.IN1 +addr_i[2] => Mux1.IN1 +addr_i[2] => Mux2.IN1 +addr_i[2] => Mux3.IN1 +addr_i[2] => Mux4.IN1 +addr_i[2] => Mux5.IN1 +addr_i[2] => Mux6.IN1 +addr_i[2] => Mux7.IN1 +addr_i[2] => Mux8.IN1 +addr_i[2] => Mux9.IN1 +addr_i[2] => Mux10.IN1 +addr_i[2] => Mux11.IN1 +addr_i[2] => Mux12.IN1 +addr_i[2] => Mux13.IN1 +addr_i[2] => Mux14.IN1 +addr_i[2] => Mux15.IN1 +addr_i[2] => Mux16.IN1 +addr_i[2] => Mux17.IN1 +addr_i[2] => Mux18.IN1 +addr_i[2] => Mux19.IN1 +addr_i[2] => Mux20.IN1 +addr_i[2] => Mux21.IN1 +addr_i[2] => Mux22.IN1 +addr_i[2] => Mux23.IN1 +addr_i[2] => Mux24.IN1 +addr_i[2] => Mux25.IN1 +addr_i[2] => Mux26.IN1 +addr_i[2] => Mux27.IN1 +addr_i[2] => Mux28.IN1 +addr_i[2] => Mux29.IN1 +addr_i[2] => Mux30.IN1 +addr_i[2] => Mux31.IN1 addr_i[2] => Equal1.IN56 -addr_i[3] => Mux0.IN2 -addr_i[3] => Mux1.IN2 -addr_i[3] => Mux2.IN2 -addr_i[3] => Mux3.IN2 -addr_i[3] => Mux4.IN2 -addr_i[3] => Mux5.IN2 -addr_i[3] => Mux6.IN2 -addr_i[3] => Mux7.IN2 +addr_i[2] => Equal2.IN28 +addr_i[3] => ack_o.IN1 +addr_i[3] => Mux0.IN0 +addr_i[3] => Mux1.IN0 +addr_i[3] => Mux2.IN0 +addr_i[3] => Mux3.IN0 +addr_i[3] => Mux4.IN0 +addr_i[3] => Mux5.IN0 +addr_i[3] => Mux6.IN0 +addr_i[3] => Mux7.IN0 +addr_i[3] => Mux8.IN0 +addr_i[3] => Mux9.IN0 +addr_i[3] => Mux10.IN0 +addr_i[3] => Mux11.IN0 +addr_i[3] => Mux12.IN0 +addr_i[3] => Mux13.IN0 +addr_i[3] => Mux14.IN0 +addr_i[3] => Mux15.IN0 +addr_i[3] => Mux16.IN0 +addr_i[3] => Mux17.IN0 +addr_i[3] => Mux18.IN0 +addr_i[3] => Mux19.IN0 +addr_i[3] => Mux20.IN0 +addr_i[3] => Mux21.IN0 +addr_i[3] => Mux22.IN0 +addr_i[3] => Mux23.IN0 +addr_i[3] => Mux24.IN0 +addr_i[3] => Mux25.IN0 +addr_i[3] => Mux26.IN0 +addr_i[3] => Mux27.IN0 +addr_i[3] => Mux28.IN0 +addr_i[3] => Mux29.IN0 +addr_i[3] => Mux30.IN0 +addr_i[3] => Mux31.IN0 addr_i[3] => Equal1.IN27 +addr_i[3] => Equal2.IN27 +addr_i[3] => err_o.IN1 addr_i[4] => Equal0.IN4 addr_i[5] => Equal0.IN3 addr_i[6] => Equal0.IN2 @@ -11154,38 +12749,70 @@ addr_i[30] => ~NO_FANOUT~ addr_i[31] => ~NO_FANOUT~ rden_i => rden.IN1 wren_i => wren.IN1 -data_i[0] => dout.DATAB -data_i[1] => dout.DATAB -data_i[2] => dout.DATAB -data_i[3] => dout.DATAB -data_i[4] => dout.DATAB -data_i[5] => dout.DATAB -data_i[6] => dout.DATAB -data_i[7] => dout.DATAB -data_i[8] => ~NO_FANOUT~ -data_i[9] => ~NO_FANOUT~ -data_i[10] => ~NO_FANOUT~ -data_i[11] => ~NO_FANOUT~ -data_i[12] => ~NO_FANOUT~ -data_i[13] => ~NO_FANOUT~ -data_i[14] => ~NO_FANOUT~ -data_i[15] => ~NO_FANOUT~ -data_i[16] => ~NO_FANOUT~ -data_i[17] => ~NO_FANOUT~ -data_i[18] => ~NO_FANOUT~ -data_i[19] => ~NO_FANOUT~ -data_i[20] => ~NO_FANOUT~ -data_i[21] => ~NO_FANOUT~ -data_i[22] => ~NO_FANOUT~ -data_i[23] => ~NO_FANOUT~ -data_i[24] => ~NO_FANOUT~ -data_i[25] => ~NO_FANOUT~ -data_i[26] => ~NO_FANOUT~ -data_i[27] => ~NO_FANOUT~ -data_i[28] => ~NO_FANOUT~ -data_i[29] => ~NO_FANOUT~ -data_i[30] => ~NO_FANOUT~ -data_i[31] => ~NO_FANOUT~ +data_i[0] => dout_lo.DATAB +data_i[0] => dout_hi.DATAB +data_i[1] => dout_lo.DATAB +data_i[1] => dout_hi.DATAB +data_i[2] => dout_lo.DATAB +data_i[2] => dout_hi.DATAB +data_i[3] => dout_lo.DATAB +data_i[3] => dout_hi.DATAB +data_i[4] => dout_lo.DATAB +data_i[4] => dout_hi.DATAB +data_i[5] => dout_lo.DATAB +data_i[5] => dout_hi.DATAB +data_i[6] => dout_lo.DATAB +data_i[6] => dout_hi.DATAB +data_i[7] => dout_lo.DATAB +data_i[7] => dout_hi.DATAB +data_i[8] => dout_lo.DATAB +data_i[8] => dout_hi.DATAB +data_i[9] => dout_lo.DATAB +data_i[9] => dout_hi.DATAB +data_i[10] => dout_lo.DATAB +data_i[10] => dout_hi.DATAB +data_i[11] => dout_lo.DATAB +data_i[11] => dout_hi.DATAB +data_i[12] => dout_lo.DATAB +data_i[12] => dout_hi.DATAB +data_i[13] => dout_lo.DATAB +data_i[13] => dout_hi.DATAB +data_i[14] => dout_lo.DATAB +data_i[14] => dout_hi.DATAB +data_i[15] => dout_lo.DATAB +data_i[15] => dout_hi.DATAB +data_i[16] => dout_lo.DATAB +data_i[16] => dout_hi.DATAB +data_i[17] => dout_lo.DATAB +data_i[17] => dout_hi.DATAB +data_i[18] => dout_lo.DATAB +data_i[18] => dout_hi.DATAB +data_i[19] => dout_lo.DATAB +data_i[19] => dout_hi.DATAB +data_i[20] => dout_lo.DATAB +data_i[20] => dout_hi.DATAB +data_i[21] => dout_lo.DATAB +data_i[21] => dout_hi.DATAB +data_i[22] => dout_lo.DATAB +data_i[22] => dout_hi.DATAB +data_i[23] => dout_lo.DATAB +data_i[23] => dout_hi.DATAB +data_i[24] => dout_lo.DATAB +data_i[24] => dout_hi.DATAB +data_i[25] => dout_lo.DATAB +data_i[25] => dout_hi.DATAB +data_i[26] => dout_lo.DATAB +data_i[26] => dout_hi.DATAB +data_i[27] => dout_lo.DATAB +data_i[27] => dout_hi.DATAB +data_i[28] => dout_lo.DATAB +data_i[28] => dout_hi.DATAB +data_i[29] => dout_lo.DATAB +data_i[29] => dout_hi.DATAB +data_i[30] => dout_lo.DATAB +data_i[30] => dout_hi.DATAB +data_i[31] => dout_lo.DATAB +data_i[31] => dout_hi.DATAB data_o[0] <= data_o[0]~reg0.DB_MAX_OUTPUT_PORT_TYPE data_o[1] <= data_o[1]~reg0.DB_MAX_OUTPUT_PORT_TYPE data_o[2] <= data_o[2]~reg0.DB_MAX_OUTPUT_PORT_TYPE @@ -11219,134 +12846,135 @@ data_o[29] <= data_o[29]~reg0.DB_MAX_OUTPUT_PORT_TYPE data_o[30] <= data_o[30]~reg0.DB_MAX_OUTPUT_PORT_TYPE data_o[31] <= data_o[31]~reg0.DB_MAX_OUTPUT_PORT_TYPE ack_o <= ack_o~reg0.DB_MAX_OUTPUT_PORT_TYPE -gpio_o[0] <= dout[0].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[1] <= dout[1].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[2] <= dout[2].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[3] <= dout[3].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[4] <= dout[4].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[5] <= dout[5].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[6] <= dout[6].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[7] <= dout[7].DB_MAX_OUTPUT_PORT_TYPE -gpio_o[8] <= -gpio_o[9] <= -gpio_o[10] <= -gpio_o[11] <= -gpio_o[12] <= -gpio_o[13] <= -gpio_o[14] <= -gpio_o[15] <= -gpio_o[16] <= -gpio_o[17] <= -gpio_o[18] <= -gpio_o[19] <= -gpio_o[20] <= -gpio_o[21] <= -gpio_o[22] <= -gpio_o[23] <= -gpio_o[24] <= -gpio_o[25] <= -gpio_o[26] <= -gpio_o[27] <= -gpio_o[28] <= -gpio_o[29] <= -gpio_o[30] <= -gpio_o[31] <= -gpio_o[32] <= -gpio_o[33] <= -gpio_o[34] <= -gpio_o[35] <= -gpio_o[36] <= -gpio_o[37] <= -gpio_o[38] <= -gpio_o[39] <= -gpio_o[40] <= -gpio_o[41] <= -gpio_o[42] <= -gpio_o[43] <= -gpio_o[44] <= -gpio_o[45] <= -gpio_o[46] <= -gpio_o[47] <= -gpio_o[48] <= -gpio_o[49] <= -gpio_o[50] <= -gpio_o[51] <= -gpio_o[52] <= -gpio_o[53] <= -gpio_o[54] <= -gpio_o[55] <= -gpio_o[56] <= -gpio_o[57] <= -gpio_o[58] <= -gpio_o[59] <= -gpio_o[60] <= -gpio_o[61] <= -gpio_o[62] <= -gpio_o[63] <= -gpio_i[0] => din[0].DATAIN -gpio_i[1] => din[1].DATAIN -gpio_i[2] => din[2].DATAIN -gpio_i[3] => din[3].DATAIN -gpio_i[4] => din[4].DATAIN -gpio_i[5] => din[5].DATAIN -gpio_i[6] => din[6].DATAIN -gpio_i[7] => din[7].DATAIN -gpio_i[8] => ~NO_FANOUT~ -gpio_i[9] => ~NO_FANOUT~ -gpio_i[10] => ~NO_FANOUT~ -gpio_i[11] => ~NO_FANOUT~ -gpio_i[12] => ~NO_FANOUT~ -gpio_i[13] => ~NO_FANOUT~ -gpio_i[14] => ~NO_FANOUT~ -gpio_i[15] => ~NO_FANOUT~ -gpio_i[16] => ~NO_FANOUT~ -gpio_i[17] => ~NO_FANOUT~ -gpio_i[18] => ~NO_FANOUT~ -gpio_i[19] => ~NO_FANOUT~ -gpio_i[20] => ~NO_FANOUT~ -gpio_i[21] => ~NO_FANOUT~ -gpio_i[22] => ~NO_FANOUT~ -gpio_i[23] => ~NO_FANOUT~ -gpio_i[24] => ~NO_FANOUT~ -gpio_i[25] => ~NO_FANOUT~ -gpio_i[26] => ~NO_FANOUT~ -gpio_i[27] => ~NO_FANOUT~ -gpio_i[28] => ~NO_FANOUT~ -gpio_i[29] => ~NO_FANOUT~ -gpio_i[30] => ~NO_FANOUT~ -gpio_i[31] => ~NO_FANOUT~ -gpio_i[32] => ~NO_FANOUT~ -gpio_i[33] => ~NO_FANOUT~ -gpio_i[34] => ~NO_FANOUT~ -gpio_i[35] => ~NO_FANOUT~ -gpio_i[36] => ~NO_FANOUT~ -gpio_i[37] => ~NO_FANOUT~ -gpio_i[38] => ~NO_FANOUT~ -gpio_i[39] => ~NO_FANOUT~ -gpio_i[40] => ~NO_FANOUT~ -gpio_i[41] => ~NO_FANOUT~ -gpio_i[42] => ~NO_FANOUT~ -gpio_i[43] => ~NO_FANOUT~ -gpio_i[44] => ~NO_FANOUT~ -gpio_i[45] => ~NO_FANOUT~ -gpio_i[46] => ~NO_FANOUT~ -gpio_i[47] => ~NO_FANOUT~ -gpio_i[48] => ~NO_FANOUT~ -gpio_i[49] => ~NO_FANOUT~ -gpio_i[50] => ~NO_FANOUT~ -gpio_i[51] => ~NO_FANOUT~ -gpio_i[52] => ~NO_FANOUT~ -gpio_i[53] => ~NO_FANOUT~ -gpio_i[54] => ~NO_FANOUT~ -gpio_i[55] => ~NO_FANOUT~ -gpio_i[56] => ~NO_FANOUT~ -gpio_i[57] => ~NO_FANOUT~ -gpio_i[58] => ~NO_FANOUT~ -gpio_i[59] => ~NO_FANOUT~ -gpio_i[60] => ~NO_FANOUT~ -gpio_i[61] => ~NO_FANOUT~ -gpio_i[62] => ~NO_FANOUT~ -gpio_i[63] => ~NO_FANOUT~ +err_o <= err_o~reg0.DB_MAX_OUTPUT_PORT_TYPE +gpio_o[0] <= dout_lo[0].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[1] <= dout_lo[1].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[2] <= dout_lo[2].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[3] <= dout_lo[3].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[4] <= dout_lo[4].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[5] <= dout_lo[5].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[6] <= dout_lo[6].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[7] <= dout_lo[7].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[8] <= dout_lo[8].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[9] <= dout_lo[9].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[10] <= dout_lo[10].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[11] <= dout_lo[11].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[12] <= dout_lo[12].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[13] <= dout_lo[13].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[14] <= dout_lo[14].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[15] <= dout_lo[15].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[16] <= dout_lo[16].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[17] <= dout_lo[17].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[18] <= dout_lo[18].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[19] <= dout_lo[19].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[20] <= dout_lo[20].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[21] <= dout_lo[21].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[22] <= dout_lo[22].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[23] <= dout_lo[23].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[24] <= dout_lo[24].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[25] <= dout_lo[25].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[26] <= dout_lo[26].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[27] <= dout_lo[27].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[28] <= dout_lo[28].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[29] <= dout_lo[29].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[30] <= dout_lo[30].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[31] <= dout_lo[31].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[32] <= dout_hi[0].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[33] <= dout_hi[1].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[34] <= dout_hi[2].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[35] <= dout_hi[3].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[36] <= dout_hi[4].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[37] <= dout_hi[5].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[38] <= dout_hi[6].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[39] <= dout_hi[7].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[40] <= dout_hi[8].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[41] <= dout_hi[9].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[42] <= dout_hi[10].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[43] <= dout_hi[11].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[44] <= dout_hi[12].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[45] <= dout_hi[13].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[46] <= dout_hi[14].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[47] <= dout_hi[15].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[48] <= dout_hi[16].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[49] <= dout_hi[17].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[50] <= dout_hi[18].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[51] <= dout_hi[19].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[52] <= dout_hi[20].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[53] <= dout_hi[21].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[54] <= dout_hi[22].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[55] <= dout_hi[23].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[56] <= dout_hi[24].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[57] <= dout_hi[25].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[58] <= dout_hi[26].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[59] <= dout_hi[27].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[60] <= dout_hi[28].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[61] <= dout_hi[29].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[62] <= dout_hi[30].DB_MAX_OUTPUT_PORT_TYPE +gpio_o[63] <= dout_hi[31].DB_MAX_OUTPUT_PORT_TYPE +gpio_i[0] => din_lo[0].DATAIN +gpio_i[1] => din_lo[1].DATAIN +gpio_i[2] => din_lo[2].DATAIN +gpio_i[3] => din_lo[3].DATAIN +gpio_i[4] => din_lo[4].DATAIN +gpio_i[5] => din_lo[5].DATAIN +gpio_i[6] => din_lo[6].DATAIN +gpio_i[7] => din_lo[7].DATAIN +gpio_i[8] => din_lo[8].DATAIN +gpio_i[9] => din_lo[9].DATAIN +gpio_i[10] => din_lo[10].DATAIN +gpio_i[11] => din_lo[11].DATAIN +gpio_i[12] => din_lo[12].DATAIN +gpio_i[13] => din_lo[13].DATAIN +gpio_i[14] => din_lo[14].DATAIN +gpio_i[15] => din_lo[15].DATAIN +gpio_i[16] => din_lo[16].DATAIN +gpio_i[17] => din_lo[17].DATAIN +gpio_i[18] => din_lo[18].DATAIN +gpio_i[19] => din_lo[19].DATAIN +gpio_i[20] => din_lo[20].DATAIN +gpio_i[21] => din_lo[21].DATAIN +gpio_i[22] => din_lo[22].DATAIN +gpio_i[23] => din_lo[23].DATAIN +gpio_i[24] => din_lo[24].DATAIN +gpio_i[25] => din_lo[25].DATAIN +gpio_i[26] => din_lo[26].DATAIN +gpio_i[27] => din_lo[27].DATAIN +gpio_i[28] => din_lo[28].DATAIN +gpio_i[29] => din_lo[29].DATAIN +gpio_i[30] => din_lo[30].DATAIN +gpio_i[31] => din_lo[31].DATAIN +gpio_i[32] => din_hi[0].DATAIN +gpio_i[33] => din_hi[1].DATAIN +gpio_i[34] => din_hi[2].DATAIN +gpio_i[35] => din_hi[3].DATAIN +gpio_i[36] => din_hi[4].DATAIN +gpio_i[37] => din_hi[5].DATAIN +gpio_i[38] => din_hi[6].DATAIN +gpio_i[39] => din_hi[7].DATAIN +gpio_i[40] => din_hi[8].DATAIN +gpio_i[41] => din_hi[9].DATAIN +gpio_i[42] => din_hi[10].DATAIN +gpio_i[43] => din_hi[11].DATAIN +gpio_i[44] => din_hi[12].DATAIN +gpio_i[45] => din_hi[13].DATAIN +gpio_i[46] => din_hi[14].DATAIN +gpio_i[47] => din_hi[15].DATAIN +gpio_i[48] => din_hi[16].DATAIN +gpio_i[49] => din_hi[17].DATAIN +gpio_i[50] => din_hi[18].DATAIN +gpio_i[51] => din_hi[19].DATAIN +gpio_i[52] => din_hi[20].DATAIN +gpio_i[53] => din_hi[21].DATAIN +gpio_i[54] => din_hi[22].DATAIN +gpio_i[55] => din_hi[23].DATAIN +gpio_i[56] => din_hi[24].DATAIN +gpio_i[57] => din_hi[25].DATAIN +gpio_i[58] => din_hi[26].DATAIN +gpio_i[59] => din_hi[27].DATAIN +gpio_i[60] => din_hi[28].DATAIN +gpio_i[61] => din_hi[29].DATAIN +gpio_i[62] => din_hi[30].DATAIN +gpio_i[63] => din_hi[31].DATAIN |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst @@ -12402,19 +14030,16 @@ addr_i[2] => Mux1.IN10 addr_i[2] => Mux2.IN10 addr_i[2] => Mux3.IN10 addr_i[2] => Mux4.IN10 -addr_i[2] => Mux5.IN10 addr_i[3] => Mux0.IN9 addr_i[3] => Mux1.IN9 addr_i[3] => Mux2.IN9 addr_i[3] => Mux3.IN9 addr_i[3] => Mux4.IN9 -addr_i[3] => Mux5.IN9 addr_i[4] => Mux0.IN8 addr_i[4] => Mux1.IN8 addr_i[4] => Mux2.IN8 addr_i[4] => Mux3.IN8 addr_i[4] => Mux4.IN8 -addr_i[4] => Mux5.IN8 addr_i[5] => Equal0.IN3 addr_i[6] => Equal0.IN2 addr_i[7] => Equal0.IN1 diff --git a/proj_quartus/db/test.hif b/proj_quartus/db/test.hif index 24cc6be34..44096e74a 100644 Binary files a/proj_quartus/db/test.hif and b/proj_quartus/db/test.hif differ diff --git a/proj_quartus/db/test.lpc.html b/proj_quartus/db/test.lpc.html index 2dc844308..70cb207c1 100644 --- a/proj_quartus/db/test.lpc.html +++ b/proj_quartus/db/test.lpc.html @@ -98,13 +98,13 @@ neorv32_top_inst|\neorv32_gpio_inst_true:neorv32_gpio_inst 132 -56 -105 -56 -97 -56 -56 -56 +0 +25 +0 +98 +0 +0 +0 0 0 0 @@ -193,9 +193,9 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst -874 +873 1 -765 +764 1 142 1 @@ -209,9 +209,9 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst -137 +136 0 -66 +65 0 33 0 @@ -225,9 +225,9 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst -110 +109 0 -67 +66 0 33 0 @@ -241,11 +241,11 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst -264 +263 5 64 5 -73 +72 5 5 5 @@ -289,9 +289,9 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst -199 +198 0 -51 +50 0 128 0 @@ -304,15 +304,31 @@ 0 +neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst +16 +2 +0 +2 +33 +2 +2 +2 +0 +0 +0 +0 +0 + + neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:1:prefetch_buffer_inst 23 -4 +3 0 -4 +3 21 -4 -4 -4 +3 +3 +3 0 0 0 @@ -322,13 +338,13 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:0:prefetch_buffer_inst 23 -1 +3 0 -1 +3 21 -1 -1 -1 +3 +3 +3 0 0 0 @@ -337,11 +353,11 @@ neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst -167 +166 677 7 677 -903 +902 677 677 677 @@ -369,14 +385,14 @@ neorv32_top_inst -180 -236 -71 -236 -204 -236 -236 -236 +457 +586 +325 +586 +531 +586 +586 +586 3 0 3 diff --git a/proj_quartus/db/test.lpc.rdb b/proj_quartus/db/test.lpc.rdb index 5223864ca..a269b3b47 100644 Binary files a/proj_quartus/db/test.lpc.rdb and b/proj_quartus/db/test.lpc.rdb differ diff --git a/proj_quartus/db/test.lpc.txt b/proj_quartus/db/test.lpc.txt index 021f34b82..7206cb0fb 100644 --- a/proj_quartus/db/test.lpc.txt +++ b/proj_quartus/db/test.lpc.txt @@ -1,29 +1,30 @@ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Legal Partition Candidates ; -+--------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; -+--------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ -; neorv32_top_inst|neorv32_sysinfo_inst ; 35 ; 0 ; 25 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst ; 15 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst ; 37 ; 24 ; 0 ; 24 ; 35 ; 24 ; 24 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst ; 78 ; 0 ; 25 ; 0 ; 38 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_mtime_inst_true:neorv32_mtime_inst ; 68 ; 0 ; 25 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_gpio_inst_true:neorv32_gpio_inst ; 132 ; 56 ; 105 ; 56 ; 97 ; 56 ; 56 ; 56 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; 35 ; 0 ; 5 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; 71 ; 0 ; 2 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; 71 ; 0 ; 2 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_bus_keeper_inst ; 107 ; 3 ; 90 ; 3 ; 34 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_busswitch_inst ; 180 ; 41 ; 0 ; 41 ; 141 ; 41 ; 41 ; 41 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst ; 874 ; 1 ; 765 ; 1 ; 142 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; 137 ; 0 ; 66 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst ; 110 ; 0 ; 67 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst ; 264 ; 5 ; 64 ; 5 ; 73 ; 5 ; 5 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst ; 199 ; 0 ; 51 ; 0 ; 128 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:1:prefetch_buffer_inst ; 23 ; 4 ; 0 ; 4 ; 21 ; 4 ; 4 ; 4 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:0:prefetch_buffer_inst ; 23 ; 1 ; 0 ; 1 ; 21 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst ; 167 ; 677 ; 7 ; 677 ; 903 ; 677 ; 677 ; 677 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst|neorv32_cpu_inst ; 90 ; 17 ; 0 ; 17 ; 109 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; neorv32_top_inst ; 180 ; 236 ; 71 ; 236 ; 204 ; 236 ; 236 ; 236 ; 3 ; 0 ; 3 ; 0 ; 3 ; -+--------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Legal Partition Candidates ; ++------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; Hierarchy ; Input ; Constant Input ; Unused Input ; Floating Input ; Output ; Constant Output ; Unused Output ; Floating Output ; Bidir ; Constant Bidir ; Unused Bidir ; Input only Bidir ; Output only Bidir ; ++------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ +; neorv32_top_inst|neorv32_sysinfo_inst ; 35 ; 0 ; 25 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst ; 15 ; 0 ; 0 ; 0 ; 13 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst ; 37 ; 24 ; 0 ; 24 ; 35 ; 24 ; 24 ; 24 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_uart0_inst_true:neorv32_uart0_inst ; 78 ; 0 ; 25 ; 0 ; 38 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_mtime_inst_true:neorv32_mtime_inst ; 68 ; 0 ; 25 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_gpio_inst_true:neorv32_gpio_inst ; 132 ; 0 ; 25 ; 0 ; 98 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; 35 ; 0 ; 5 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; 71 ; 0 ; 2 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; 71 ; 0 ; 2 ; 0 ; 34 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_bus_keeper_inst ; 107 ; 3 ; 90 ; 3 ; 34 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_busswitch_inst ; 180 ; 41 ; 0 ; 41 ; 141 ; 41 ; 41 ; 41 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst ; 873 ; 1 ; 764 ; 1 ; 142 ; 1 ; 1 ; 1 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; 136 ; 0 ; 65 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst ; 109 ; 0 ; 66 ; 0 ; 33 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst ; 263 ; 5 ; 64 ; 5 ; 72 ; 5 ; 5 ; 5 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated ; 44 ; 0 ; 0 ; 0 ; 32 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst ; 198 ; 0 ; 50 ; 0 ; 128 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst ; 16 ; 2 ; 0 ; 2 ; 33 ; 2 ; 2 ; 2 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:1:prefetch_buffer_inst ; 23 ; 3 ; 0 ; 3 ; 21 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|\prefetch_buffer:0:prefetch_buffer_inst ; 23 ; 3 ; 0 ; 3 ; 21 ; 3 ; 3 ; 3 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst ; 166 ; 677 ; 7 ; 677 ; 902 ; 677 ; 677 ; 677 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst|neorv32_cpu_inst ; 90 ; 17 ; 0 ; 17 ; 109 ; 17 ; 17 ; 17 ; 0 ; 0 ; 0 ; 0 ; 0 ; +; neorv32_top_inst ; 457 ; 586 ; 325 ; 586 ; 531 ; 586 ; 586 ; 586 ; 3 ; 0 ; 3 ; 0 ; 3 ; ++------------------------------------------------------------------------------------------------------------------------------+-------+----------------+--------------+----------------+--------+-----------------+---------------+-----------------+-------+----------------+--------------+------------------+-------------------+ diff --git a/proj_quartus/db/test.map.ammdb b/proj_quartus/db/test.map.ammdb index abcb3fcad..116f3de3c 100644 Binary files a/proj_quartus/db/test.map.ammdb and b/proj_quartus/db/test.map.ammdb differ diff --git a/proj_quartus/db/test.map.bpm b/proj_quartus/db/test.map.bpm index a3e4887fb..b2459030d 100644 Binary files a/proj_quartus/db/test.map.bpm and b/proj_quartus/db/test.map.bpm differ diff --git a/proj_quartus/db/test.map.cdb b/proj_quartus/db/test.map.cdb index 5a0ad4aef..9cb668d75 100644 Binary files a/proj_quartus/db/test.map.cdb and b/proj_quartus/db/test.map.cdb differ diff --git a/proj_quartus/db/test.map.hdb b/proj_quartus/db/test.map.hdb index ad645e28e..8c2bc612d 100644 Binary files a/proj_quartus/db/test.map.hdb and b/proj_quartus/db/test.map.hdb differ diff --git a/proj_quartus/db/test.map.kpt b/proj_quartus/db/test.map.kpt index ed6291be8..c301f496d 100644 Binary files a/proj_quartus/db/test.map.kpt and b/proj_quartus/db/test.map.kpt differ diff --git a/proj_quartus/db/test.map.qmsg b/proj_quartus/db/test.map.qmsg index 0b83c137f..925a18a05 100644 --- a/proj_quartus/db/test.map.qmsg +++ b/proj_quartus/db/test.map.qmsg @@ -1,131 +1,131 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678267784340 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678267784340 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 10:29:44 2023 " "Processing started: Wed Mar 8 10:29:44 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678267784340 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267784340 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test " "Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267784340 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678267784787 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678267784787 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl " "Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789882 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_test_setup_bootloader " "Found entity 1: neorv32_test_setup_bootloader" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789882 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789882 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_imem-neorv32_imem_rtl " "Found design unit 1: neorv32_imem-neorv32_imem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 46 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789891 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789891 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_dmem-neorv32_dmem_rtl " "Found design unit 1: neorv32_dmem-neorv32_dmem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 42 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789892 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789892 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xirq-neorv32_xirq_rtl " "Found design unit 1: neorv32_xirq-neorv32_xirq_rtl" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" 72 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789896 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xirq " "Found entity 1: neorv32_xirq" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789896 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789896 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xip-neorv32_xip_rtl " "Found design unit 1: neorv32_xip-neorv32_xip_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789899 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_xip_phy-neorv32_xip_phy_rtl " "Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 501 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789899 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xip " "Found entity 1: neorv32_xip" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 48 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789899 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_xip_phy " "Found entity 2: neorv32_xip_phy" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd" 476 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789899 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789899 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wishbone-neorv32_wishbone_rtl " "Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" 104 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789900 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wishbone " "Found entity 1: neorv32_wishbone" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd" 55 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789900 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789900 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wdt-neorv32_wdt_rtl " "Found design unit 1: neorv32_wdt-neorv32_wdt_rtl" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789901 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wdt " "Found entity 1: neorv32_wdt" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd" 50 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789901 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789901 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_uart-neorv32_uart_rtl " "Found design unit 1: neorv32_uart-neorv32_uart_rtl" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789902 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_uart " "Found entity 1: neorv32_uart" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789902 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789902 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_twi-neorv32_twi_rtl " "Found design unit 1: neorv32_twi-neorv32_twi_rtl" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" 71 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789903 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_twi " "Found entity 1: neorv32_twi" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789903 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789903 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_trng-neorv32_trng_rtl " "Found design unit 1: neorv32_trng-neorv32_trng_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neoTRNG-neoTRNG_rtl " "Found design unit 2: neoTRNG-neoTRNG_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 310 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neoTRNG_cell-neoTRNG_cell_rtl " "Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 638 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_trng " "Found entity 1: neorv32_trng" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} { "Info" "ISGN_ENTITY_NAME" "2 neoTRNG " "Found entity 2: neoTRNG" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 292 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} { "Info" "ISGN_ENTITY_NAME" "3 neoTRNG_cell " "Found entity 3: neoTRNG_cell" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd" 622 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789904 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789904 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_top-neorv32_top_rtl " "Found design unit 1: neorv32_top-neorv32_top_rtl" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 229 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789918 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_top " "Found entity 1: neorv32_top" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789918 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789918 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sysinfo-neorv32_sysinfo_rtl " "Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789918 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sysinfo " "Found entity 1: neorv32_sysinfo" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789918 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789918 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_spi-neorv32_spi_rtl " "Found design unit 1: neorv32_spi-neorv32_spi_rtl" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" 69 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789919 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_spi " "Found entity 1: neorv32_spi" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789919 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789919 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sdi-neorv32_sdi_rtl " "Found design unit 1: neorv32_sdi-neorv32_sdi_rtl" { } { { "../neorv32/rtl/core/neorv32_sdi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" 69 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789921 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sdi " "Found entity 1: neorv32_sdi" { } { { "../neorv32/rtl/core/neorv32_sdi.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789921 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789921 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_pwm-neorv32_pwm_rtl " "Found design unit 1: neorv32_pwm-neorv32_pwm_rtl" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789922 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_pwm " "Found entity 1: neorv32_pwm" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789922 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789922 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd 4 0 " "Found 4 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_package (neorv32) " "Found design unit 1: neorv32_package (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 39 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789937 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_package-body " "Found design unit 2: neorv32_package-body" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2260 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789937 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_bootloader_image (neorv32) " "Found design unit 3: neorv32_bootloader_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2580 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789937 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "4 neorv32_application_image (neorv32) " "Found design unit 4: neorv32_application_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd" 2597 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789937 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789937 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_onewire-neorv32_onewire_rtl " "Found design unit 1: neorv32_onewire-neorv32_onewire_rtl" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789938 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_onewire " "Found entity 1: neorv32_onewire" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789938 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789938 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_neoled-neorv32_neoled_rtl " "Found design unit 1: neorv32_neoled-neorv32_neoled_rtl" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789953 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_neoled " "Found entity 1: neorv32_neoled" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789953 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789953 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_mtime-neorv32_mtime_rtl " "Found design unit 1: neorv32_mtime-neorv32_mtime_rtl" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789961 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_mtime " "Found entity 1: neorv32_mtime" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789961 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789961 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_imem " "Found entity 1: neorv32_imem" { } { { "../neorv32/rtl/core/neorv32_imem.entity.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789969 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789969 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_icache-neorv32_icache_rtl " "Found design unit 1: neorv32_icache-neorv32_icache_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789979 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_icache_memory-neorv32_icache_memory_rtl " "Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 414 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789979 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_icache " "Found entity 1: neorv32_icache" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789979 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_icache_memory " "Found entity 2: neorv32_icache_memory" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd" 385 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789979 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789979 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gptmr-neorv32_gptmr_rtl " "Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" 66 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789986 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gptmr " "Found entity 1: neorv32_gptmr" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789986 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789986 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gpio-neorv32_gpio_rtl " "Found design unit 1: neorv32_gpio-neorv32_gpio_rtl" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" 62 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789994 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gpio " "Found entity 1: neorv32_gpio" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267789994 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267789994 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_fifo-neorv32_fifo_rtl " "Found design unit 1: neorv32_fifo-neorv32_fifo_rtl" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790003 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_fifo " "Found entity 1: neorv32_fifo" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790003 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790003 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_dmem " "Found entity 1: neorv32_dmem" { } { { "../neorv32/rtl/core/neorv32_dmem.entity.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790010 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790010 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dtm-neorv32_debug_dtm_rtl " "Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790019 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dtm " "Found entity 1: neorv32_debug_dtm" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790019 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790019 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dm-neorv32_debug_dm_rtl " "Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" 88 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790028 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dm " "Found entity 1: neorv32_debug_dm" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790028 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790028 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_regfile-neorv32_cpu_regfile_rtl " "Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790035 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_regfile " "Found entity 1: neorv32_cpu_regfile" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 54 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790035 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790035 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl " "Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790044 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_decompressor " "Found entity 1: neorv32_cpu_decompressor" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790044 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790044 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl " "Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 65 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790052 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_shifter " "Found entity 1: neorv32_cpu_cp_shifter" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790052 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790052 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl " "Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790060 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_muldiv " "Found entity 1: neorv32_cpu_cp_muldiv" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790060 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790060 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl " "Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl " "Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1221 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl " "Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1609 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_fpu " "Found entity 1: neorv32_cpu_cp_fpu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_cpu_cp_fpu_normalizer " "Found entity 2: neorv32_cpu_cp_fpu_normalizer" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1199 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} { "Info" "ISGN_ENTITY_NAME" "3 neorv32_cpu_cp_fpu_f2i " "Found entity 3: neorv32_cpu_cp_fpu_f2i" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1586 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790084 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790084 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl " "Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 68 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790102 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_cfu " "Found entity 1: neorv32_cpu_cp_cfu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790102 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790102 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl " "Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790110 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_bitmanip " "Found entity 1: neorv32_cpu_cp_bitmanip" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790110 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790110 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_control-neorv32_cpu_control_rtl " "Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 133 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790130 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_control " "Found entity 1: neorv32_cpu_control" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790130 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790130 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_bus-neorv32_cpu_bus_rtl " "Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 85 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790142 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_bus " "Found entity 1: neorv32_cpu_bus" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790142 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790142 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_alu-neorv32_cpu_cpu_rtl " "Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790150 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_alu " "Found entity 1: neorv32_cpu_alu" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790150 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790150 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu-neorv32_cpu_rtl " "Found design unit 1: neorv32_cpu-neorv32_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 111 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790158 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu " "Found entity 1: neorv32_cpu" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790158 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790158 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cfs-neorv32_cfs_rtl " "Found design unit 1: neorv32_cfs-neorv32_cfs_rtl" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790166 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cfs " "Found entity 1: neorv32_cfs" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790166 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790166 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_busswitch-neorv32_busswitch_rtl " "Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" 91 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790175 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_busswitch " "Found entity 1: neorv32_busswitch" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790175 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790175 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bus_keeper-neorv32_bus_keeper_rtl " "Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790183 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_bus_keeper " "Found entity 1: neorv32_bus_keeper" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790183 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790183 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bootloader_image-body " "Found design unit 1: neorv32_bootloader_image-body" { } { { "../neorv32/rtl/core/neorv32_bootloader_image.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790191 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790191 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_boot_rom-neorv32_boot_rom_rtl " "Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 58 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790199 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_boot_rom " "Found entity 1: neorv32_boot_rom" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790199 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790199 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_application_image-body " "Found design unit 1: neorv32_application_image-body" { } { { "../neorv32/rtl/core/neorv32_application_image.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790202 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790202 ""} -{ "Info" "ISGN_START_ELABORATION_TOP" "neorv32_test_setup_bootloader " "Elaborating entity \"neorv32_test_setup_bootloader\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678267790437 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_top neorv32_top:neorv32_top_inst " "Elaborating entity \"neorv32_top\" for hierarchy \"neorv32_top:neorv32_top_inst\"" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "neorv32_top_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790440 ""} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rstn_ext neorv32_top.vhd(241) " "Verilog HDL or VHDL warning at neorv32_top.vhd(241): object \"rstn_ext\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 241 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cpu_s neorv32_top.vhd(269) " "Verilog HDL or VHDL warning at neorv32_top.vhd(269): object \"cpu_s\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 269 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_enable neorv32_top.vhd(374) " "Verilog HDL or VHDL warning at neorv32_top.vhd(374): object \"xip_enable\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 374 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_page neorv32_top.vhd(375) " "Verilog HDL or VHDL warning at neorv32_top.vhd(375): object \"xip_page\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 375 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" neorv32_top.vhd(381) " "VHDL Assertion Statement at neorv32_top.vhd(381): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 381 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" neorv32_top.vhd(406) " "VHDL Assertion Statement at neorv32_top.vhd(406): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 406 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790444 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst " "Elaborating entity \"neorv32_cpu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_cpu_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 528 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790445 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" neorv32_cpu.vhd(161) " "VHDL Assertion Statement at neorv32_cpu.vhd(161): assertion is false - report \"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 161 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790448 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IM_Zicsr_Zicntr\" neorv32_cpu.vhd(164) " "VHDL Assertion Statement at neorv32_cpu.vhd(164): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IM_Zicsr_Zicntr\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 164 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790448 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU NOTE: Assuming this is real hardware.\" neorv32_cpu.vhd(186) " "VHDL Assertion Statement at neorv32_cpu.vhd(186): assertion is false - report \"NEORV32 CPU NOTE: Assuming this is real hardware.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 186 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790448 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" neorv32_cpu.vhd(196) " "VHDL Assertion Statement at neorv32_cpu.vhd(196): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 196 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790448 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_control neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst " "Elaborating entity \"neorv32_cpu_control\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_control_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 256 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790449 ""} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(250) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(250): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 250 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(252) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(252): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 252 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(254) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(254): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 254 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(256) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(256): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 256 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(258) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(258): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 258 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(366) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(366): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 366 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790457 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_L2_HDL_CONDITION_EXP_IS_CONST" "neorv32_cpu_control.vhd(1154) " "Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1154): conditional expression evaluates to a constant" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 1154 0 0 } } } 0 10037 "Verilog HDL or VHDL warning at %1!s!: conditional expression evaluates to a constant" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2084) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2084): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2084 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2490) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2490): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2490 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2527) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2527): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2527 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Warning" "WVRFX_VHDL_IGNORED_ASSIGNMENT_TO_NULL_RANGE" "neorv32_cpu_control.vhd(2560) " "VHDL warning at neorv32_cpu_control.vhd(2560): ignored assignment of value to null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 2560 0 0 } } } 0 10296 "VHDL warning at %1!s!: ignored assignment of value to null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\prefetch_buffer:0:prefetch_buffer_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd" 495 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790458 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_regfile neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst " "Elaborating entity \"neorv32_cpu_regfile\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_regfile_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 348 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790461 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborating entity \"altsyncram\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790770 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790771 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 5 " "Parameter \"WIDTHAD_A\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32 " "Parameter \"NUMWORDS_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 32 " "Parameter \"WIDTH_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 5 " "Parameter \"WIDTHAD_B\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32 " "Parameter \"NUMWORDS_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267790771 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678267790771 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u2n1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u2n1 " "Found entity 1: altsyncram_u2n1" { } { { "db/altsyncram_u2n1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_u2n1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267790869 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267790869 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u2n1 neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated " "Elaborating entity \"altsyncram_u2n1\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "/home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790869 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_alu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst " "Elaborating entity \"neorv32_cpu_alu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_alu_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 374 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790877 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_shifter neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst " "Elaborating entity \"neorv32_cpu_cp_shifter\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "neorv32_cpu_cp_shifter_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 216 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790879 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_muldiv neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst " "Elaborating entity \"neorv32_cpu_cp_muldiv\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd" 240 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790880 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_bus neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst " "Elaborating entity \"neorv32_cpu_bus\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_bus_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd" 412 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790883 ""} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(114) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 114 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(115) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 115 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(116) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 116 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(117) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 117 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(118) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 118 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(119) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 119 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(120) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 120 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(121) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 121 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(122) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 122 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(427) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 427 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(446) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 446 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(467) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 467 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(500) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd" 500 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678267790885 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_busswitch neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst " "Elaborating entity \"neorv32_busswitch\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_busswitch_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 676 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790886 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_bus_keeper neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst " "Elaborating entity \"neorv32_bus_keeper\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_bus_keeper_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 748 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790888 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_imem neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst " "Elaborating entity \"neorv32_imem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_imem_inst_true:neorv32_int_imem_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 784 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790889 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" neorv32_imem.default.vhd(89) " "VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 89 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790890 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" neorv32_imem.default.vhd(96) " "VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 96 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790890 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_dmem neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst " "Elaborating entity \"neorv32_dmem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 813 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790890 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" neorv32_dmem.default.vhd(72) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 72 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790891 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 8192 bytes).\" neorv32_dmem.default.vhd(75) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 8192 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 75 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790891 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_boot_rom neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst " "Elaborating entity \"neorv32_boot_rom\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 841 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790892 ""} -{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" neorv32_boot_rom.vhd(81) " "VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd" 81 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678267790897 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst"} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_gpio neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst " "Elaborating entity \"neorv32_gpio\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_gpio_inst_true:neorv32_gpio_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1082 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790897 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_mtime neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst " "Elaborating entity \"neorv32_mtime\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_mtime_inst_true:neorv32_mtime_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1154 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790899 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_uart neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst " "Elaborating entity \"neorv32_uart\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_uart0_inst_true:neorv32_uart0_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1183 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790900 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "tx_engine_fifo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 334 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790902 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "rx_engine_fifo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd" 510 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790904 ""} -{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_sysinfo neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst " "Elaborating entity \"neorv32_sysinfo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_sysinfo_inst" { Text "/home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd" 1580 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267790906 ""} -{ "Info" "IOPT_INFERENCING_SUMMARY" "9 " "Inferred 9 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 11 " "Parameter WIDTHAD_A set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 2048 " "Parameter NUMWORDS_A set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 11 " "Parameter WIDTHAD_B set to 11" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 2048 " "Parameter NUMWORDS_B set to 2048" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 12 " "Parameter WIDTHAD_A set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 4096 " "Parameter NUMWORDS_A set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 12 " "Parameter WIDTHAD_B set to 12" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 4096 " "Parameter NUMWORDS_B set to 4096" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "IINFER_ALTSYNCRAM_ROM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0\"" { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE ROM " "Parameter OPERATION_MODE set to ROM" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 10 " "Parameter WIDTHAD_A set to 10" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 1024 " "Parameter NUMWORDS_A set to 1024" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_A UNREGISTERED " "Parameter OUTDATA_REG_A set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RAM_BLOCK_TYPE AUTO " "Parameter RAM_BLOCK_TYPE set to AUTO" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter INIT_FILE set to bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 276031 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\"" 0 0 "Design Software" 0 -1 1678267793679 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678267793679 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267793695 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 11 " "Parameter \"WIDTHAD_A\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 2048 " "Parameter \"NUMWORDS_A\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 11 " "Parameter \"WIDTHAD_B\" = \"11\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 2048 " "Parameter \"NUMWORDS_B\" = \"2048\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793695 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678267793695 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_c6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_c6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_c6q1 " "Found entity 1: altsyncram_c6q1" { } { { "db/altsyncram_c6q1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_c6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267793724 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267793724 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267793738 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 12 " "Parameter \"WIDTHAD_A\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 4096 " "Parameter \"NUMWORDS_A\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 12 " "Parameter \"WIDTHAD_B\" = \"12\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 4096 " "Parameter \"NUMWORDS_B\" = \"4096\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793738 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678267793738 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_o6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_o6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_o6q1 " "Found entity 1: altsyncram_o6q1" { } { { "db/altsyncram_o6q1.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_o6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267793766 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267793766 ""} -{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267793781 ""} -{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE ROM " "Parameter \"OPERATION_MODE\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 10 " "Parameter \"WIDTHAD_A\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 1024 " "Parameter \"NUMWORDS_A\" = \"1024\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter \"INIT_FILE\" = \"bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678267793781 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678267793781 ""} -{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_bg91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_bg91 " "Found entity 1: altsyncram_bg91" { } { { "db/altsyncram_bg91.tdf" "" { Text "/home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_bg91.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678267793811 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267793811 ""} -{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1678267794003 ""} -{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678267795586 ""} -{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "43 " "43 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1678267797439 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267797596 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1678267797596 ""} -{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678267797965 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678267797965 ""} -{ "Info" "ICUT_CUT_TM_SUMMARY" "2640 " "Implemented 2640 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678267798722 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678267798722 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2468 " "Implemented 2468 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678267798722 ""} { "Info" "ICUT_CUT_TM_RAMS" "160 " "Implemented 160 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1678267798722 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678267798722 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 55 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 55 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "627 " "Peak virtual memory: 627 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678267798743 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 10:29:58 2023 " "Processing ended: Wed Mar 8 10:29:58 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678267798743 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:14 " "Elapsed time: 00:00:14" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678267798743 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:19 " "Total CPU time (on all processors): 00:00:19" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678267798743 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678267798743 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678285562002 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus Prime " "Running Quartus Prime Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285562002 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:26:01 2023 " "Processing started: Wed Mar 8 15:26:01 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285562002 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285562002 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test " "Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285562002 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Analysis & Synthesis" 0 -1 1678285562250 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Analysis & Synthesis" 0 -1 1678285562250 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl " "Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568882 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_test_setup_bootloader " "Found entity 1: neorv32_test_setup_bootloader" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568882 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568882 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_imem-neorv32_imem_rtl " "Found design unit 1: neorv32_imem-neorv32_imem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 46 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568883 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568883 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_dmem-neorv32_dmem_rtl " "Found design unit 1: neorv32_dmem-neorv32_dmem_rtl" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 42 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568884 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568884 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xirq-neorv32_xirq_rtl " "Found design unit 1: neorv32_xirq-neorv32_xirq_rtl" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 72 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568885 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xirq " "Found entity 1: neorv32_xirq" { } { { "../neorv32/rtl/core/neorv32_xirq.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568885 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568885 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_xip-neorv32_xip_rtl " "Found design unit 1: neorv32_xip-neorv32_xip_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 82 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568886 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_xip_phy-neorv32_xip_phy_rtl " "Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 501 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568886 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_xip " "Found entity 1: neorv32_xip" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 48 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568886 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_xip_phy " "Found entity 2: neorv32_xip_phy" { } { { "../neorv32/rtl/core/neorv32_xip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd" 476 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568886 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568886 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wishbone-neorv32_wishbone_rtl " "Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 104 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568887 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wishbone " "Found entity 1: neorv32_wishbone" { } { { "../neorv32/rtl/core/neorv32_wishbone.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd" 55 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568887 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568887 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_wdt-neorv32_wdt_rtl " "Found design unit 1: neorv32_wdt-neorv32_wdt_rtl" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 74 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568888 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_wdt " "Found entity 1: neorv32_wdt" { } { { "../neorv32/rtl/core/neorv32_wdt.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd" 50 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568888 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568888 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_uart-neorv32_uart_rtl " "Found design unit 1: neorv32_uart-neorv32_uart_rtl" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568890 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_uart " "Found entity 1: neorv32_uart" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 68 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568890 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568890 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_twi-neorv32_twi_rtl " "Found design unit 1: neorv32_twi-neorv32_twi_rtl" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 71 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568891 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_twi " "Found entity 1: neorv32_twi" { } { { "../neorv32/rtl/core/neorv32_twi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568891 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568891 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_trng-neorv32_trng_rtl " "Found design unit 1: neorv32_trng-neorv32_trng_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neoTRNG-neoTRNG_rtl " "Found design unit 2: neoTRNG-neoTRNG_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 310 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neoTRNG_cell-neoTRNG_cell_rtl " "Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 638 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_trng " "Found entity 1: neorv32_trng" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} { "Info" "ISGN_ENTITY_NAME" "2 neoTRNG " "Found entity 2: neoTRNG" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 292 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} { "Info" "ISGN_ENTITY_NAME" "3 neoTRNG_cell " "Found entity 3: neoTRNG_cell" { } { { "../neorv32/rtl/core/neorv32_trng.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd" 622 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568892 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568892 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_top-neorv32_top_rtl " "Found design unit 1: neorv32_top-neorv32_top_rtl" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 239 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568895 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_top " "Found entity 1: neorv32_top" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568895 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568895 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_sysinfo-neorv32_sysinfo_rtl " "Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 99 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568896 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_sysinfo " "Found entity 1: neorv32_sysinfo" { } { { "../neorv32/rtl/core/neorv32_sysinfo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568896 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568896 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_spi-neorv32_spi_rtl " "Found design unit 1: neorv32_spi-neorv32_spi_rtl" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568897 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_spi " "Found entity 1: neorv32_spi" { } { { "../neorv32/rtl/core/neorv32_spi.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568897 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568897 ""} +{ "Warning" "WSGN_FILE_IS_MISSING" "../neorv32/rtl/core/neorv32_sdi.vhd " "Can't analyze file -- file ../neorv32/rtl/core/neorv32_sdi.vhd is missing" { } { } 0 12019 "Can't analyze file -- file %1!s! is missing" 0 0 "Analysis & Synthesis" 0 -1 1678285568898 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_pwm-neorv32_pwm_rtl " "Found design unit 1: neorv32_pwm-neorv32_pwm_rtl" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568898 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_pwm " "Found entity 1: neorv32_pwm" { } { { "../neorv32/rtl/core/neorv32_pwm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568898 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568898 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd 4 0 " "Found 4 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_package (neorv32) " "Found design unit 1: neorv32_package (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 39 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568903 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_package-body " "Found design unit 2: neorv32_package-body" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2289 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568903 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_bootloader_image (neorv32) " "Found design unit 3: neorv32_bootloader_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2609 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568903 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "4 neorv32_application_image (neorv32) " "Found design unit 4: neorv32_application_image (neorv32)" { } { { "../neorv32/rtl/core/neorv32_package.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd" 2626 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568903 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568903 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_onewire-neorv32_onewire_rtl " "Found design unit 1: neorv32_onewire-neorv32_onewire_rtl" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568904 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_onewire " "Found entity 1: neorv32_onewire" { } { { "../neorv32/rtl/core/neorv32_onewire.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568904 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568904 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_neoled-neorv32_neoled_rtl " "Found design unit 1: neorv32_neoled-neorv32_neoled_rtl" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568905 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_neoled " "Found entity 1: neorv32_neoled" { } { { "../neorv32/rtl/core/neorv32_neoled.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd" 56 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568905 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568905 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_mtime-neorv32_mtime_rtl " "Found design unit 1: neorv32_mtime-neorv32_mtime_rtl" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 61 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568905 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_mtime " "Found entity 1: neorv32_mtime" { } { { "../neorv32/rtl/core/neorv32_mtime.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568905 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568905 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_imem " "Found entity 1: neorv32_imem" { } { { "../neorv32/rtl/core/neorv32_imem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568906 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568906 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd 4 2 " "Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_icache-neorv32_icache_rtl " "Found design unit 1: neorv32_icache-neorv32_icache_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568907 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_icache_memory-neorv32_icache_memory_rtl " "Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 414 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568907 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_icache " "Found entity 1: neorv32_icache" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568907 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_icache_memory " "Found entity 2: neorv32_icache_memory" { } { { "../neorv32/rtl/core/neorv32_icache.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd" 385 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568907 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568907 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gptmr-neorv32_gptmr_rtl " "Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 66 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568908 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gptmr " "Found entity 1: neorv32_gptmr" { } { { "../neorv32/rtl/core/neorv32_gptmr.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568908 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568908 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_gpio-neorv32_gpio_rtl " "Found design unit 1: neorv32_gpio-neorv32_gpio_rtl" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 63 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568908 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_gpio " "Found entity 1: neorv32_gpio" { } { { "../neorv32/rtl/core/neorv32_gpio.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568908 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568908 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_fifo-neorv32_fifo_rtl " "Found design unit 1: neorv32_fifo-neorv32_fifo_rtl" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568909 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_fifo " "Found entity 1: neorv32_fifo" { } { { "../neorv32/rtl/core/neorv32_fifo.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568909 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568909 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd 1 1 " "Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" { { "Info" "ISGN_ENTITY_NAME" "1 neorv32_dmem " "Found entity 1: neorv32_dmem" { } { { "../neorv32/rtl/core/neorv32_dmem.entity.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd" 39 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568910 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568910 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dtm-neorv32_debug_dtm_rtl " "Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568911 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dtm " "Found entity 1: neorv32_debug_dtm" { } { { "../neorv32/rtl/core/neorv32_debug_dtm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd" 41 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568911 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568911 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_debug_dm-neorv32_debug_dm_rtl " "Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 88 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568912 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_debug_dm " "Found entity 1: neorv32_debug_dm" { } { { "../neorv32/rtl/core/neorv32_debug_dm.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568912 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568912 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_regfile-neorv32_cpu_regfile_rtl " "Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568913 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_regfile " "Found entity 1: neorv32_cpu_regfile" { } { { "../neorv32/rtl/core/neorv32_cpu_regfile.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd" 54 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568913 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568913 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl " "Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 55 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568914 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_decompressor " "Found entity 1: neorv32_cpu_decompressor" { } { { "../neorv32/rtl/core/neorv32_cpu_decompressor.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd" 42 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568914 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568914 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl " "Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 65 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568915 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_shifter " "Found entity 1: neorv32_cpu_cp_shifter" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568915 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568915 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl " "Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 67 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568916 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_muldiv " "Found entity 1: neorv32_cpu_cp_muldiv" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568916 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568916 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd 6 3 " "Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl " "Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 80 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "2 neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl " "Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1200 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} { "Info" "ISGN_DESIGN_UNIT_NAME" "3 neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl " "Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1585 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_fpu " "Found entity 1: neorv32_cpu_cp_fpu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 58 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} { "Info" "ISGN_ENTITY_NAME" "2 neorv32_cpu_cp_fpu_normalizer " "Found entity 2: neorv32_cpu_cp_fpu_normalizer" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1178 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} { "Info" "ISGN_ENTITY_NAME" "3 neorv32_cpu_cp_fpu_f2i " "Found entity 3: neorv32_cpu_cp_fpu_f2i" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd" 1565 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568919 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568919 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl " "Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 68 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568920 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_cfu " "Found entity 1: neorv32_cpu_cp_cfu" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568920 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568920 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl " "Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 73 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568921 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_cp_bitmanip " "Found entity 1: neorv32_cpu_cp_bitmanip" { } { { "../neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd" 51 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568921 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568921 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_control-neorv32_cpu_control_rtl " "Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 132 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568926 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_control " "Found entity 1: neorv32_cpu_control" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 52 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568926 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568926 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_bus-neorv32_cpu_bus_rtl " "Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 85 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568928 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_bus " "Found entity 1: neorv32_cpu_bus" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568928 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568928 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu_alu-neorv32_cpu_cpu_rtl " "Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 79 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568928 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu_alu " "Found entity 1: neorv32_cpu_alu" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 44 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568928 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568928 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cpu-neorv32_cpu_rtl " "Found design unit 1: neorv32_cpu-neorv32_cpu_rtl" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 116 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568929 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cpu " "Found entity 1: neorv32_cpu" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 47 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568929 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568929 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_cfs-neorv32_cfs_rtl " "Found design unit 1: neorv32_cfs-neorv32_cfs_rtl" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 78 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568930 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_cfs " "Found entity 1: neorv32_cfs" { } { { "../neorv32/rtl/core/neorv32_cfs.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd" 49 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568930 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568930 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_busswitch-neorv32_busswitch_rtl " "Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 91 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568931 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_busswitch " "Found entity 1: neorv32_busswitch" { } { { "../neorv32/rtl/core/neorv32_busswitch.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd" 45 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568931 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568931 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bus_keeper-neorv32_bus_keeper_rtl " "Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 70 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568932 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_bus_keeper " "Found entity 1: neorv32_bus_keeper" { } { { "../neorv32/rtl/core/neorv32_bus_keeper.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd" 46 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568932 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568932 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_bootloader_image-body " "Found design unit 1: neorv32_bootloader_image-body" { } { { "../neorv32/rtl/core/neorv32_bootloader_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568933 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568933 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd 2 1 " "Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_boot_rom-neorv32_boot_rom_rtl " "Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 58 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568933 ""} { "Info" "ISGN_ENTITY_NAME" "1 neorv32_boot_rom " "Found entity 1: neorv32_boot_rom" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 43 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568933 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568933 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd 1 0 " "Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" { { "Info" "ISGN_DESIGN_UNIT_NAME" "1 neorv32_application_image-body " "Found design unit 1: neorv32_application_image-body" { } { { "../neorv32/rtl/core/neorv32_application_image.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd" 8 -1 0 } } } 0 12022 "Found design unit %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285568934 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285568934 ""} +{ "Info" "ISGN_START_ELABORATION_TOP" "neorv32_test_setup_bootloader " "Elaborating entity \"neorv32_test_setup_bootloader\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Analysis & Synthesis" 0 -1 1678285569121 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_top neorv32_top:neorv32_top_inst " "Elaborating entity \"neorv32_top\" for hierarchy \"neorv32_top:neorv32_top_inst\"" { } { { "../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" "neorv32_top_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd" 69 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569137 ""} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "rstn_ext neorv32_top.vhd(254) " "Verilog HDL or VHDL warning at neorv32_top.vhd(254): object \"rstn_ext\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 254 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285569140 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "cpu_s neorv32_top.vhd(282) " "Verilog HDL or VHDL warning at neorv32_top.vhd(282): object \"cpu_s\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 282 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285569141 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_enable neorv32_top.vhd(388) " "Verilog HDL or VHDL warning at neorv32_top.vhd(388): object \"xip_enable\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 388 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285569142 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "xip_page neorv32_top.vhd(389) " "Verilog HDL or VHDL warning at neorv32_top.vhd(389): object \"xip_page\" assigned a value but never read" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 389 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Analysis & Synthesis" 0 -1 1678285569142 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" neorv32_top.vhd(395) " "VHDL Assertion Statement at neorv32_top.vhd(395): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 \" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 395 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569142 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" neorv32_top.vhd(420) " "VHDL Assertion Statement at neorv32_top.vhd(420): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 420 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569142 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst " "Elaborating entity \"neorv32_cpu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_cpu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 542 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569184 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" neorv32_cpu.vhd(165) " "VHDL Assertion Statement at neorv32_cpu.vhd(165): assertion is false - report \"The NEORV32 RISC-V Processor - github.com/stnolting/neorv32\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 165 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569187 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" neorv32_cpu.vhd(168) " "VHDL Assertion Statement at neorv32_cpu.vhd(168): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 168 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569187 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU NOTE: Assuming this is real hardware.\" neorv32_cpu.vhd(190) " "VHDL Assertion Statement at neorv32_cpu.vhd(190): assertion is false - report \"NEORV32 CPU NOTE: Assuming this is real hardware.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 190 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569187 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" neorv32_cpu.vhd(200) " "VHDL Assertion Statement at neorv32_cpu.vhd(200): assertion is false - report \"NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000.\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 200 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569187 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Warning" "WVRFX_VHDL_ASSERT_ALWAYS_OCCURS_WARNING" "\"NEORV32 CPU CONFIG WARNING! Overriding configuration (setting =2) because C ISA extension is enabled.\" neorv32_cpu.vhd(214) " "VHDL Assertion Statement at neorv32_cpu.vhd(214): assertion is false - report \"NEORV32 CPU CONFIG WARNING! Overriding configuration (setting =2) because C ISA extension is enabled.\" (WARNING)" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 214 0 0 } } } 0 10651 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (WARNING)" 0 0 "Analysis & Synthesis" 0 -1 1678285569187 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_control neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst " "Elaborating entity \"neorv32_cpu_control\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_control_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 260 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569188 ""} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(249) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(249): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 249 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(251) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(251): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 251 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(253) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(253): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 253 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(255) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(255): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 255 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(257) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(257): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 257 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(365) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(365): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 365 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_L2_HDL_CONDITION_EXP_IS_CONST" "neorv32_cpu_control.vhd(1143) " "Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1143): conditional expression evaluates to a constant" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1143 0 0 } } } 0 10037 "Verilog HDL or VHDL warning at %1!s!: conditional expression evaluates to a constant" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_L2_VHDL_ID_IN_COMB_PROCESS_HOLDS_VALUE" "csr neorv32_cpu_control.vhd(1686) " "VHDL Process Statement warning at neorv32_cpu_control.vhd(1686): inferring latch(es) for signal or variable \"csr\", which holds its previous value in one or more paths through the process" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 1686 0 0 } } } 0 10631 "VHDL Process Statement warning at %2!s!: inferring latch(es) for signal or variable \"%1!s!\", which holds its previous value in one or more paths through the process" 0 0 "Analysis & Synthesis" 0 -1 1678285569198 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2074) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2074): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2074 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569199 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2480) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2480): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2480 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569199 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_control.vhd(2517) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2517): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2517 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569199 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Warning" "WVRFX_VHDL_IGNORED_ASSIGNMENT_TO_NULL_RANGE" "neorv32_cpu_control.vhd(2550) " "VHDL warning at neorv32_cpu_control.vhd(2550): ignored assignment of value to null range" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 2550 0 0 } } } 0 10296 "VHDL warning at %1!s!: ignored assignment of value to null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569199 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_fifo:\\prefetch_buffer:0:prefetch_buffer_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\prefetch_buffer:0:prefetch_buffer_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 494 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569199 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_decompressor neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst " "Elaborating entity \"neorv32_cpu_decompressor\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_control:neorv32_cpu_control_inst\|neorv32_cpu_decompressor:\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_control.vhd" "\\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd" 591 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569202 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_regfile neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst " "Elaborating entity \"neorv32_cpu_regfile\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_regfile_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 351 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569204 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborating entity \"altsyncram\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569270 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569271 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 5 " "Parameter \"WIDTHAD_A\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 32 " "Parameter \"NUMWORDS_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 32 " "Parameter \"WIDTH_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 5 " "Parameter \"WIDTHAD_B\" = \"5\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 32 " "Parameter \"NUMWORDS_B\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285569271 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285569271 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_u2n1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_u2n1 " "Found entity 1: altsyncram_u2n1" { } { { "db/altsyncram_u2n1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_u2n1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285569308 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285569308 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "altsyncram_u2n1 neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated " "Elaborating entity \"altsyncram_u2n1\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_regfile:neorv32_cpu_regfile_inst\|altsyncram:reg_file\[0\]\[31\]__1\|altsyncram_u2n1:auto_generated\"" { } { { "altsyncram.tdf" "auto_generated" { Text "/home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altsyncram.tdf" 792 4 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569308 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_alu neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst " "Elaborating entity \"neorv32_cpu_alu\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_alu_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 377 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569318 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_shifter neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst " "Elaborating entity \"neorv32_cpu_cp_shifter\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "neorv32_cpu_cp_shifter_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 174 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569320 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_cp_muldiv neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst " "Elaborating entity \"neorv32_cpu_cp_muldiv\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_alu:neorv32_cpu_alu_inst\|neorv32_cpu_cp_muldiv:\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu_alu.vhd" "\\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd" 198 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569322 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_cpu_bus neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst " "Elaborating entity \"neorv32_cpu_bus\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_cpu:neorv32_cpu_inst\|neorv32_cpu_bus:neorv32_cpu_bus_inst\"" { } { { "../neorv32/rtl/core/neorv32_cpu.vhd" "neorv32_cpu_bus_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd" 414 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569326 ""} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(114) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 114 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(115) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 115 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(116) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 116 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(117) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 117 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(118) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 118 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(119) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 119 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(120) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 120 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(121) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 121 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(122) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 122 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(427) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 427 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(446) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 446 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(467) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 467 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Warning" "WVRFX_VHDL_NULL_RANGE" "neorv32_cpu_bus.vhd(500) " "VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range" { } { { "../neorv32/rtl/core/neorv32_cpu_bus.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd" 500 0 0 } } } 0 10445 "VHDL Subtype or Type Declaration warning at %1!s!: subtype or type has null range" 0 0 "Analysis & Synthesis" 0 -1 1678285569328 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_busswitch neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst " "Elaborating entity \"neorv32_busswitch\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_busswitch:neorv32_busswitch_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_busswitch_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 691 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569330 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_bus_keeper neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst " "Elaborating entity \"neorv32_bus_keeper\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_bus_keeper:neorv32_bus_keeper_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_bus_keeper_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 763 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569332 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_imem neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst " "Elaborating entity \"neorv32_imem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_imem_inst_true:neorv32_int_imem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 799 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569333 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" neorv32_imem.default.vhd(89) " "VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 89 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569334 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (32768 bytes).\" neorv32_imem.default.vhd(96) " "VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (32768 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_imem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd" 96 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569334 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_dmem neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst " "Elaborating entity \"neorv32_dmem\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 828 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569335 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" neorv32_dmem.default.vhd(72) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM.\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 72 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569378 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 32768 bytes).\" neorv32_dmem.default.vhd(75) " "VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 32768 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/mem/neorv32_dmem.default.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd" 75 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569378 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_boot_rom neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst " "Elaborating entity \"neorv32_boot_rom\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 856 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569388 ""} +{ "Info" "IVRFX_VHDL_ASSERT_ALWAYS_OCCURS_INFO" "\"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" neorv32_boot_rom.vhd(81) " "VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report \"NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes).\" (NOTE)" { } { { "../neorv32/rtl/core/neorv32_boot_rom.vhd" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd" 81 0 0 } } } 0 10544 "VHDL Assertion Statement at %2!s!: assertion is false - report %1!s! (NOTE)" 0 0 "Analysis & Synthesis" 0 -1 1678285569393 "|neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst"} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_gpio neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst " "Elaborating entity \"neorv32_gpio\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_gpio:\\neorv32_gpio_inst_true:neorv32_gpio_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_gpio_inst_true:neorv32_gpio_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1059 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569394 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_mtime neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst " "Elaborating entity \"neorv32_mtime\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_mtime:\\neorv32_mtime_inst_true:neorv32_mtime_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_mtime_inst_true:neorv32_mtime_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1128 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569396 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_uart neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst " "Elaborating entity \"neorv32_uart\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "\\neorv32_uart0_inst_true:neorv32_uart0_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1157 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569398 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:tx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "tx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 334 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569401 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_fifo neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst " "Elaborating entity \"neorv32_fifo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_uart:\\neorv32_uart0_inst_true:neorv32_uart0_inst\|neorv32_fifo:rx_engine_fifo_inst\"" { } { { "../neorv32/rtl/core/neorv32_uart.vhd" "rx_engine_fifo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd" 510 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569402 ""} +{ "Info" "ISGN_START_ELABORATION_HIERARCHY" "neorv32_sysinfo neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst " "Elaborating entity \"neorv32_sysinfo\" for hierarchy \"neorv32_top:neorv32_top_inst\|neorv32_sysinfo:neorv32_sysinfo_inst\"" { } { { "../neorv32/rtl/core/neorv32_top.vhd" "neorv32_sysinfo_inst" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd" 1605 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285569404 ""} +{ "Info" "IOPT_INFERENCING_SUMMARY" "9 " "Inferred 9 megafunctions from design logic" { { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b0_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b1_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b2_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_imem:\\neorv32_int_imem_inst_true:neorv32_int_imem_inst\|mem_ram_b3_rtl_0\" " { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE DUAL_PORT " "Parameter OPERATION_MODE set to DUAL_PORT" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 8 " "Parameter WIDTH_A set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 13 " "Parameter WIDTHAD_A set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 8192 " "Parameter NUMWORDS_A set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_B 8 " "Parameter WIDTH_B set to 8" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_B 13 " "Parameter WIDTHAD_B set to 13" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_B 8192 " "Parameter NUMWORDS_B set to 8192" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_A NONE " "Parameter ADDRESS_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_B UNREGISTERED " "Parameter OUTDATA_REG_B set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_ACLR_B NONE " "Parameter ADDRESS_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_ACLR_B NONE " "Parameter OUTDATA_ACLR_B set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "ADDRESS_REG_B CLOCK0 " "Parameter ADDRESS_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INDATA_ACLR_A NONE " "Parameter INDATA_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WRCONTROL_ACLR_A NONE " "Parameter WRCONTROL_ACLR_A set to NONE" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter READ_DURING_WRITE_MODE_MIXED_PORTS set to OLD_DATA" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RDCONTROL_REG_B CLOCK0 " "Parameter RDCONTROL_REG_B set to CLOCK0" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276029 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\" " 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "IINFER_ALTSYNCRAM_ROM_INFERRED" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0 " "Inferred altsyncram megafunction from the following design logic: \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|Mux26_rtl_0\"" { { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OPERATION_MODE ROM " "Parameter OPERATION_MODE set to ROM" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTH_A 32 " "Parameter WIDTH_A set to 32" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "WIDTHAD_A 10 " "Parameter WIDTHAD_A set to 10" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "NUMWORDS_A 1024 " "Parameter NUMWORDS_A set to 1024" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "OUTDATA_REG_A UNREGISTERED " "Parameter OUTDATA_REG_A set to UNREGISTERED" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "RAM_BLOCK_TYPE AUTO " "Parameter RAM_BLOCK_TYPE set to AUTO" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} { "Info" "ISUTIL_INFERRED_MEGAFUNCTION_PARAMETER" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter INIT_FILE set to bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" { } { } 0 286033 "Parameter %1!s! set to %2!s!" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 276031 "Inferred altsyncram megafunction from the following design logic: \"%1!s!\"" 0 0 "Design Software" 0 -1 1678285573845 ""} } { } 0 19000 "Inferred %1!d! megafunctions from design logic" 0 0 "Analysis & Synthesis" 0 -1 1678285573845 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285573870 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_dmem:\\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst\|altsyncram:mem_ram_b0_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE DUAL_PORT " "Parameter \"OPERATION_MODE\" = \"DUAL_PORT\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 8 " "Parameter \"WIDTH_A\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 13 " "Parameter \"WIDTHAD_A\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 8192 " "Parameter \"NUMWORDS_A\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_B 8 " "Parameter \"WIDTH_B\" = \"8\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_B 13 " "Parameter \"WIDTHAD_B\" = \"13\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_B 8192 " "Parameter \"NUMWORDS_B\" = \"8192\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_A NONE " "Parameter \"ADDRESS_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_B UNREGISTERED " "Parameter \"OUTDATA_REG_B\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_ACLR_B NONE " "Parameter \"ADDRESS_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_ACLR_B NONE " "Parameter \"OUTDATA_ACLR_B\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "ADDRESS_REG_B CLOCK0 " "Parameter \"ADDRESS_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INDATA_ACLR_A NONE " "Parameter \"INDATA_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WRCONTROL_ACLR_A NONE " "Parameter \"WRCONTROL_ACLR_A\" = \"NONE\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "READ_DURING_WRITE_MODE_MIXED_PORTS OLD_DATA " "Parameter \"READ_DURING_WRITE_MODE_MIXED_PORTS\" = \"OLD_DATA\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RDCONTROL_REG_B CLOCK0 " "Parameter \"RDCONTROL_REG_B\" = \"CLOCK0\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573870 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285573870 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_s6q1.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_s6q1.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_s6q1 " "Found entity 1: altsyncram_s6q1" { } { { "db/altsyncram_s6q1.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_s6q1.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285573904 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285573904 ""} +{ "Info" "ISGN_ELABORATION_HEADER" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Elaborated megafunction instantiation \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\"" { } { } 0 12130 "Elaborated megafunction instantiation \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285573940 ""} +{ "Info" "ISGN_MEGAFN_PARAM_TOP" "neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0 " "Instantiated megafunction \"neorv32_top:neorv32_top_inst\|neorv32_boot_rom:\\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst\|altsyncram:Mux26_rtl_0\" with the following parameter:" { { "Info" "ISGN_MEGAFN_PARAM_SUB" "OPERATION_MODE ROM " "Parameter \"OPERATION_MODE\" = \"ROM\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTH_A 32 " "Parameter \"WIDTH_A\" = \"32\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "WIDTHAD_A 10 " "Parameter \"WIDTHAD_A\" = \"10\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "NUMWORDS_A 1024 " "Parameter \"NUMWORDS_A\" = \"1024\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "OUTDATA_REG_A UNREGISTERED " "Parameter \"OUTDATA_REG_A\" = \"UNREGISTERED\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "RAM_BLOCK_TYPE AUTO " "Parameter \"RAM_BLOCK_TYPE\" = \"AUTO\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} { "Info" "ISGN_MEGAFN_PARAM_SUB" "INIT_FILE bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif " "Parameter \"INIT_FILE\" = \"bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif\"" { } { } 0 12134 "Parameter \"%1!s!\" = \"%2!s!\"" 0 0 "Design Software" 0 -1 1678285573940 ""} } { } 0 12133 "Instantiated megafunction \"%1!s!\" with the following parameter:" 0 0 "Analysis & Synthesis" 0 -1 1678285573940 ""} +{ "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "db/altsyncram_bg91.tdf 1 1 " "Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf" { { "Info" "ISGN_ENTITY_NAME" "1 altsyncram_bg91 " "Found entity 1: altsyncram_bg91" { } { { "db/altsyncram_bg91.tdf" "" { Text "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_bg91.tdf" 28 1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Design Software" 0 -1 1678285573977 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285573977 ""} +{ "Warning" "WSGN_CONNECTIVITY_WARNINGS" "1 " "1 hierarchies have connectivity warnings - see the Connectivity Checks report folder" { } { } 0 12241 "%1!d! hierarchies have connectivity warnings - see the Connectivity Checks report folder" 0 0 "Analysis & Synthesis" 0 -1 1678285574243 ""} +{ "Info" "ISUTIL_TIMING_DRIVEN_SYNTHESIS_RUNNING" "" "Timing-Driven Synthesis is running" { } { } 0 286030 "Timing-Driven Synthesis is running" 0 0 "Analysis & Synthesis" 0 -1 1678285576564 ""} +{ "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "43 " "43 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Analysis & Synthesis" 0 -1 1678285579235 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285579324 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Analysis & Synthesis" 0 -1 1678285579324 ""} +{ "Info" "IBPM_HARD_BLOCK_PARTITION_CREATED" "hard_block:auto_generated_inst " "Generating hard_block partition \"hard_block:auto_generated_inst\"" { { "Info" "IBPM_HARD_BLOCK_PARTITION_NODE" "0 0 0 0 0 " "Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL" { } { } 0 16011 "Adding %1!d! node(s), including %2!d! DDIO, %3!d! PLL, %4!d! transceiver and %5!d! LCELL" 0 0 "Design Software" 0 -1 1678285579573 ""} } { } 0 16010 "Generating hard_block partition \"%1!s!\"" 0 0 "Analysis & Synthesis" 0 -1 1678285579573 ""} +{ "Info" "ICUT_CUT_TM_SUMMARY" "2929 " "Implemented 2929 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "3 " "Implemented 3 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Design Software" 0 -1 1678285579835 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Design Software" 0 -1 1678285579835 ""} { "Info" "ICUT_CUT_TM_LCELLS" "2757 " "Implemented 2757 logic cells" { } { } 0 21061 "Implemented %1!d! logic cells" 0 0 "Design Software" 0 -1 1678285579835 ""} { "Info" "ICUT_CUT_TM_RAMS" "160 " "Implemented 160 RAM segments" { } { } 0 21064 "Implemented %1!d! RAM segments" 0 0 "Design Software" 0 -1 1678285579835 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Analysis & Synthesis" 0 -1 1678285579835 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 58 s Quartus Prime " "Quartus Prime Analysis & Synthesis was successful. 0 errors, 58 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "588 " "Peak virtual memory: 588 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285579858 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:26:19 2023 " "Processing ended: Wed Mar 8 15:26:19 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285579858 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:18 " "Elapsed time: 00:00:18" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285579858 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:25 " "Total CPU time (on all processors): 00:00:25" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285579858 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Analysis & Synthesis" 0 -1 1678285579858 ""} diff --git a/proj_quartus/db/test.map.rdb b/proj_quartus/db/test.map.rdb index 0a8f26ee8..5a389ad3a 100644 Binary files a/proj_quartus/db/test.map.rdb and b/proj_quartus/db/test.map.rdb differ diff --git a/proj_quartus/db/test.map_bb.cdb b/proj_quartus/db/test.map_bb.cdb index 5b81af880..70b84a0c3 100644 Binary files a/proj_quartus/db/test.map_bb.cdb and b/proj_quartus/db/test.map_bb.cdb differ diff --git a/proj_quartus/db/test.map_bb.hdb b/proj_quartus/db/test.map_bb.hdb index c38395d3d..53d406b07 100644 Binary files a/proj_quartus/db/test.map_bb.hdb and b/proj_quartus/db/test.map_bb.hdb differ diff --git a/proj_quartus/db/test.pplq.rdb b/proj_quartus/db/test.pplq.rdb deleted file mode 100644 index d878c99da..000000000 Binary files a/proj_quartus/db/test.pplq.rdb and /dev/null differ diff --git a/proj_quartus/db/test.pre_map.hdb b/proj_quartus/db/test.pre_map.hdb index e817a81e3..6e138e04e 100644 Binary files a/proj_quartus/db/test.pre_map.hdb and b/proj_quartus/db/test.pre_map.hdb differ diff --git a/proj_quartus/db/test.root_partition.map.reg_db.cdb b/proj_quartus/db/test.root_partition.map.reg_db.cdb index a54411b85..f3190093c 100644 Binary files a/proj_quartus/db/test.root_partition.map.reg_db.cdb and b/proj_quartus/db/test.root_partition.map.reg_db.cdb differ diff --git a/proj_quartus/db/test.routing.rdb b/proj_quartus/db/test.routing.rdb index 500f5d0d5..3015abeb9 100644 Binary files a/proj_quartus/db/test.routing.rdb and b/proj_quartus/db/test.routing.rdb differ diff --git a/proj_quartus/db/test.rtlv.hdb b/proj_quartus/db/test.rtlv.hdb index 56584a155..56881121d 100644 Binary files a/proj_quartus/db/test.rtlv.hdb and b/proj_quartus/db/test.rtlv.hdb differ diff --git a/proj_quartus/db/test.rtlv_sg.cdb b/proj_quartus/db/test.rtlv_sg.cdb index d0609c4a6..e3e9ae7e7 100644 Binary files a/proj_quartus/db/test.rtlv_sg.cdb and b/proj_quartus/db/test.rtlv_sg.cdb differ diff --git a/proj_quartus/db/test.rtlv_sg_swap.cdb b/proj_quartus/db/test.rtlv_sg_swap.cdb index 5e23bd6e2..b85c7c9ff 100644 Binary files a/proj_quartus/db/test.rtlv_sg_swap.cdb and b/proj_quartus/db/test.rtlv_sg_swap.cdb differ diff --git a/proj_quartus/db/test.sld_design_entry.sci b/proj_quartus/db/test.sld_design_entry.sci index 43e8a4087..86b172717 100644 Binary files a/proj_quartus/db/test.sld_design_entry.sci and b/proj_quartus/db/test.sld_design_entry.sci differ diff --git a/proj_quartus/db/test.sld_design_entry_dsc.sci b/proj_quartus/db/test.sld_design_entry_dsc.sci index 43e8a4087..86b172717 100644 Binary files a/proj_quartus/db/test.sld_design_entry_dsc.sci and b/proj_quartus/db/test.sld_design_entry_dsc.sci differ diff --git a/proj_quartus/db/test.sta.qmsg b/proj_quartus/db/test.sta.qmsg index 78443dad7..ad1590d42 100644 --- a/proj_quartus/db/test.sta.qmsg +++ b/proj_quartus/db/test.sta.qmsg @@ -1,57 +1,57 @@ -{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678267869159 ""} -{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678267869159 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 10:31:08 2023 " "Processing started: Wed Mar 8 10:31:08 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678267869159 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678267869159 ""} -{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta bootloarder_1 -c test " "Command: quartus_sta bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678267869159 ""} -{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678267869192 ""} -{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678267869566 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1678267869566 ""} -{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678267869638 ""} -{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "12 12 " "Parallel compilation is enabled and will use 12 of the 12 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678267869638 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267869669 ""} -{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267869669 ""} -{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678267870234 ""} -{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870235 ""} -{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_i clk_i " "create_clock -period 1.000 -name clk_i clk_i" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1678267870251 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267870251 ""} -{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678267870264 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267870264 ""} -{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678267870265 ""} -{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678267870270 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678267870500 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678267870500 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.245 " "Worst-case setup slack is -6.245" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870501 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870501 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.245 -8452.469 clk_i " " -6.245 -8452.469 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870501 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870501 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.291 " "Worst-case hold slack is 0.291" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870509 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870509 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.291 0.000 clk_i " " 0.291 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870509 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870509 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.190 " "Worst-case recovery slack is -2.190" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870511 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.190 -1698.659 clk_i " " -2.190 -1698.659 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870511 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870511 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.111 " "Worst-case removal slack is 1.111" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870512 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870512 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.111 0.000 clk_i " " 1.111 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870512 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870512 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870513 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870513 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5133.297 clk_i " " -2.636 -5133.297 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267870513 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267870513 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678267870549 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267870549 ""} -{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678267870552 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678267870598 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678267871941 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267872084 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678267872113 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678267872113 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.283 " "Worst-case setup slack is -6.283" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872113 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.283 -8236.370 clk_i " " -6.283 -8236.370 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872113 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267872113 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.259 " "Worst-case hold slack is 0.259" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872121 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872121 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.259 0.000 clk_i " " 0.259 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872121 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267872121 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.058 " "Worst-case recovery slack is -2.058" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872122 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872122 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.058 -1561.136 clk_i " " -2.058 -1561.136 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872122 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267872122 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.041 " "Worst-case removal slack is 1.041" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872124 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872124 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.041 0.000 clk_i " " 1.041 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872124 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267872124 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872125 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5032.980 clk_i " " -2.636 -5032.980 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267872125 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267872125 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678267872137 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267872137 ""} -{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678267872139 ""} -{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678267872280 ""} -{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678267873514 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267873654 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678267873664 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678267873664 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.372 " "Worst-case setup slack is -3.372" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873664 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873664 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.372 -4098.850 clk_i " " -3.372 -4098.850 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873664 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873664 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.173 " "Worst-case hold slack is 0.173" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.173 0.000 clk_i " " 0.173 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873672 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873672 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.663 " "Worst-case recovery slack is -1.663" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873674 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.663 -1319.442 clk_i " " -1.663 -1319.442 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873674 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873674 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.338 " "Worst-case removal slack is 1.338" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873675 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873675 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.338 0.000 clk_i " " 1.338 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873675 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873675 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873677 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873677 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -4834.894 clk_i " " -2.636 -4834.894 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873677 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873677 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678267873689 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267873689 ""} -{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678267873690 ""} -{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267873889 ""} -{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678267873899 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678267873899 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.042 " "Worst-case setup slack is -3.042" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873899 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873899 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.042 -3547.209 clk_i " " -3.042 -3547.209 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873899 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873899 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.141 " "Worst-case hold slack is 0.141" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873908 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873908 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.141 0.000 clk_i " " 0.141 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873908 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873908 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.547 " "Worst-case recovery slack is -1.547" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873909 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873909 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.547 -1234.293 clk_i " " -1.547 -1234.293 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873909 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873909 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.335 " "Worst-case removal slack is 1.335" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873910 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873910 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.335 0.000 clk_i " " 1.335 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873910 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873910 ""} -{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873912 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873912 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -5025.291 clk_i " " -2.636 -5025.291 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678267873912 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678267873912 ""} -{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678267873924 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678267873924 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678267874802 ""} -{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678267874802 ""} -{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "997 " "Peak virtual memory: 997 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678267874840 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 10:31:14 2023 " "Processing ended: Wed Mar 8 10:31:14 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678267874840 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:06 " "Elapsed time: 00:00:06" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678267874840 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:14 " "Total CPU time (on all processors): 00:00:14" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678267874840 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678267874840 ""} +{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Design Software" 0 -1 1678285665666 ""} +{ "Info" "IQEXE_START_BANNER_PRODUCT" "Timing Analyzer Quartus Prime " "Running Quartus Prime Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition " "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" { } { } 0 0 "%1!s!" 0 0 "Design Software" 0 -1 1678285665667 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Mar 8 15:27:45 2023 " "Processing started: Wed Mar 8 15:27:45 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Design Software" 0 -1 1678285665667 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285665667 ""} +{ "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta bootloarder_1 -c test " "Command: quartus_sta bootloarder_1 -c test" { } { } 0 0 "Command: %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285665667 ""} +{ "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Timing Analyzer" 0 0 1678285665697 ""} +{ "Warning" "WQCU_FOUND_UNUSABLE_ASSIGNMENTS_FOR_ENTITY" "gfsdg 24 " "Ignored 24 assignments for entity \"gfsdg\" -- entity does not exist in design" { { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top " "Assignment for entity set_instance_assignment -name PARTITION_HIERARCHY root_partition -to \| -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_COLOR 16764057 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PIN_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_TYPE STANDARD_PARTITION -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ALLOW_MULTIPLE_PERSONAS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ASD_REGION_ID 1 -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name MERGE_EQUIVALENT_BIDIRS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} { "Warning" "WQCU_IGNORED_ENTITY_ASSIGNMENT" "set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top " "Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored" { } { } 0 20014 "Assignment for entity %1!s! was ignored" 0 0 "Design Software" 0 -1 1678285666199 ""} } { } 0 20013 "Ignored %2!d! assignments for entity \"%1!s!\" -- entity does not exist in design" 0 0 "Timing Analyzer" 0 -1 1678285666199 ""} +{ "Warning" "WQCU_PARALLEL_USER_SHOULD_SPECIFY_NUM_PROC" "" "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." { } { } 0 18236 "Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance." 0 0 "Timing Analyzer" 0 -1 1678285666310 ""} +{ "Info" "IQCU_PARALLEL_AUTODETECT_MULTIPLE_PROCESSORS" "6 6 " "Parallel compilation is enabled and will use 6 of the 6 processors detected" { } { } 0 20030 "Parallel compilation is enabled and will use %1!i! of the %2!i! processors detected" 0 0 "Timing Analyzer" 0 -1 1678285666310 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "Low junction temperature 0 degrees C " "Low junction temperature is 0 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285666359 ""} +{ "Info" "ICUT_CUT_USING_OPERATING_CONDITION" "High junction temperature 85 degrees C " "High junction temperature is 85 degrees C" { } { } 0 21077 "%1!s! is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285666359 ""} +{ "Critical Warning" "WSTA_SDC_NOT_FOUND" "test.sdc " "Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Timing Analyzer" 0 -1 1678285667075 ""} +{ "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667075 ""} +{ "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk_i clk_i " "create_clock -period 1.000 -name clk_i clk_i" { } { } 0 332105 "%1!s!" 0 0 "Design Software" 0 -1 1678285667100 ""} } { } 0 332105 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285667100 ""} +{ "Info" "ISTA_NO_CLOCK_UNCERTAINTY_FOUND_DERIVING" "\"derive_clock_uncertainty\" " "No user constrained clock uncertainty found in the design. Calling \"derive_clock_uncertainty\"" { } { } 0 332143 "No user constrained clock uncertainty found in the design. Calling %1!s!" 0 0 "Timing Analyzer" 0 -1 1678285667119 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285667120 ""} +{ "Info" "0" "" "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Timing Analyzer" 0 0 1678285667121 ""} +{ "Info" "0" "" "Analyzing Slow 1100mV 85C Model" { } { } 0 0 "Analyzing Slow 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678285667127 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285667507 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285667507 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.833 " "Worst-case setup slack is -6.833" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667508 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667508 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.833 -17075.700 clk_i " " -6.833 -17075.700 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667508 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667508 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.311 " "Worst-case hold slack is 0.311" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667524 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667524 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.311 0.000 clk_i " " 0.311 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667524 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667524 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.345 " "Worst-case recovery slack is -2.345" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667527 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.345 -2043.985 clk_i " " -2.345 -2043.985 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667527 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667527 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.258 " "Worst-case removal slack is 1.258" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667530 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667530 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.258 0.000 clk_i " " 1.258 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667530 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667530 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667533 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667533 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -11832.176 clk_i " " -2.636 -11832.176 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285667533 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285667533 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285667561 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285667561 ""} +{ "Info" "0" "" "Analyzing Slow 1100mV 0C Model" { } { } 0 0 "Analyzing Slow 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678285667564 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678285667603 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678285669367 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285669590 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285669651 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285669651 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -6.585 " "Worst-case setup slack is -6.585" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669652 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669652 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.585 -16485.497 clk_i " " -6.585 -16485.497 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669652 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285669652 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.297 " "Worst-case hold slack is 0.297" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669669 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669669 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.297 0.000 clk_i " " 0.297 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669669 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285669669 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -2.204 " "Worst-case recovery slack is -2.204" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669672 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.204 -1911.010 clk_i " " -2.204 -1911.010 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669672 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285669672 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.200 " "Worst-case removal slack is 1.200" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669675 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669675 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.200 0.000 clk_i " " 1.200 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669675 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285669675 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669679 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669679 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -11724.508 clk_i " " -2.636 -11724.508 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285669679 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285669679 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Design MTBF is not calculated because the design doesn't meet its timing requirements. " "Design MTBF is not calculated because the design doesn't meet its timing requirements." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285669706 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285669706 ""} +{ "Info" "0" "" "Analyzing Fast 1100mV 85C Model" { } { } 0 0 "Analyzing Fast 1100mV 85C Model" 0 0 "Timing Analyzer" 0 0 1678285669708 ""} +{ "Info" "ITAPI_TAPI_STARTED" "" "Started post-fitting delay annotation" { } { } 0 334003 "Started post-fitting delay annotation" 0 0 "Timing Analyzer" 0 -1 1678285669875 ""} +{ "Info" "ITAPI_TAPI_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 334004 "Delay annotation completed successfully" 0 0 "Timing Analyzer" 0 -1 1678285671582 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285671811 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285671828 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285671828 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.700 " "Worst-case setup slack is -3.700" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671829 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671829 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.700 -8810.139 clk_i " " -3.700 -8810.139 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671829 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285671829 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.177 " "Worst-case hold slack is 0.177" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671849 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671849 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.177 0.000 clk_i " " 0.177 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671849 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285671849 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.758 " "Worst-case recovery slack is -1.758" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671852 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671852 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.758 -1543.573 clk_i " " -1.758 -1543.573 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671852 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285671852 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.394 " "Worst-case removal slack is 1.394" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671857 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671857 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.394 0.000 clk_i " " 1.394 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671857 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285671857 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671860 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671860 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -11600.323 clk_i " " -2.636 -11600.323 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285671860 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285671860 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 1 " "Number of Synchronizer Chains Found: 1" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 2 Registers " "Shortest Synchronizer Chain: 2 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 0.341 ns " "Worst Case Available Settling Time: 0.341 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285671887 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285671887 ""} +{ "Info" "0" "" "Analyzing Fast 1100mV 0C Model" { } { } 0 0 "Analyzing Fast 1100mV 0C Model" 0 0 "Timing Analyzer" 0 0 1678285671888 ""} +{ "Info" "ISTA_DERIVE_CLOCK_UNCERTAINTY_INFO" "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. " "Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties." { } { } 0 332123 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285672161 ""} +{ "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { { "Info" "ISTA_TIMING_NOT_MET_USE_ADA" "" "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." { } { } 0 11105 "For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer." 0 0 "Design Software" 0 -1 1678285672187 ""} } { } 1 332148 "Timing requirements not met" 0 0 "Timing Analyzer" 0 -1 1678285672187 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "setup -3.221 " "Worst-case setup slack is -3.221" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672188 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672188 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.221 -7540.658 clk_i " " -3.221 -7540.658 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672188 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285672188 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "hold 0.153 " "Worst-case hold slack is 0.153" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672207 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672207 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.153 0.000 clk_i " " 0.153 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672207 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285672207 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "recovery -1.626 " "Worst-case recovery slack is -1.626" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672210 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.626 -1449.207 clk_i " " -1.626 -1449.207 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672210 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285672210 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "removal 1.398 " "Worst-case removal slack is 1.398" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672213 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672213 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.398 0.000 clk_i " " 1.398 0.000 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672213 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285672213 ""} +{ "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -2.636 " "Worst-case minimum pulse width slack is -2.636" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672216 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= =================== ===================== " "========= =================== =====================" { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672216 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -2.636 -11893.930 clk_i " " -2.636 -11893.930 clk_i " { } { } 0 332119 "%1!s!" 0 0 "Design Software" 0 -1 1678285672216 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Timing Analyzer" 0 -1 1678285672216 ""} +{ "Info" "ISTA_REPORT_METASTABILITY_INFO" "Report Metastability: Found 1 synchronizer chains. " "Report Metastability: Found 1 synchronizer chains." { { "Info" "ISTA_REPORT_METASTABILITY_INFO" "The design MTBF is not calculated because there are no specified synchronizers in the design. " "The design MTBF is not calculated because there are no specified synchronizers in the design." { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Number of Synchronizer Chains Found: 1 " "Number of Synchronizer Chains Found: 1" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Shortest Synchronizer Chain: 2 Registers " "Shortest Synchronizer Chain: 2 Registers" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 " "Fraction of Chains for which MTBFs Could Not be Calculated: 1.000" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" "Worst Case Available Settling Time: 0.437 ns " "Worst Case Available Settling Time: 0.437 ns" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} { "Info" "ISTA_REPORT_METASTABILITY_INFO" " " "" { } { } 0 332114 "%1!s!" 0 0 "Design Software" 0 -1 1678285672242 ""} } { } 0 332114 "%1!s!" 0 0 "Timing Analyzer" 0 -1 1678285672242 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678285673356 ""} +{ "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Timing Analyzer" 0 -1 1678285673356 ""} +{ "Info" "IQEXE_ERROR_COUNT" "Timing Analyzer 0 s 31 s Quartus Prime " "Quartus Prime Timing Analyzer was successful. 0 errors, 31 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "958 " "Peak virtual memory: 958 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Design Software" 0 -1 1678285673401 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Mar 8 15:27:53 2023 " "Processing ended: Wed Mar 8 15:27:53 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Design Software" 0 -1 1678285673401 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:08 " "Elapsed time: 00:00:08" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Design Software" 0 -1 1678285673401 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:16 " "Total CPU time (on all processors): 00:00:16" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Design Software" 0 -1 1678285673401 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Timing Analyzer" 0 -1 1678285673401 ""} diff --git a/proj_quartus/db/test.sta.rdb b/proj_quartus/db/test.sta.rdb index 868aa371f..84a2b17b3 100644 Binary files a/proj_quartus/db/test.sta.rdb and b/proj_quartus/db/test.sta.rdb differ diff --git a/proj_quartus/db/test.sta_cmp.6_slow_1100mv_85c.tdb b/proj_quartus/db/test.sta_cmp.6_slow_1100mv_85c.tdb index 66cc36d03..2b928fbcd 100644 Binary files a/proj_quartus/db/test.sta_cmp.6_slow_1100mv_85c.tdb and b/proj_quartus/db/test.sta_cmp.6_slow_1100mv_85c.tdb differ diff --git a/proj_quartus/db/test.taw.rdb b/proj_quartus/db/test.taw.rdb deleted file mode 100644 index 5b8e82ec4..000000000 Binary files a/proj_quartus/db/test.taw.rdb and /dev/null differ diff --git a/proj_quartus/db/test.tis_db_list.ddb b/proj_quartus/db/test.tis_db_list.ddb index ebcad969a..d0786c19c 100644 Binary files a/proj_quartus/db/test.tis_db_list.ddb and b/proj_quartus/db/test.tis_db_list.ddb differ diff --git a/proj_quartus/db/test.tiscmp.fast_1100mv_0c.ddb b/proj_quartus/db/test.tiscmp.fast_1100mv_0c.ddb index a4aae1733..fe17f8aa0 100644 Binary files a/proj_quartus/db/test.tiscmp.fast_1100mv_0c.ddb and b/proj_quartus/db/test.tiscmp.fast_1100mv_0c.ddb differ diff --git a/proj_quartus/db/test.tiscmp.fast_1100mv_85c.ddb b/proj_quartus/db/test.tiscmp.fast_1100mv_85c.ddb index e980c5e81..03f2456ac 100644 Binary files a/proj_quartus/db/test.tiscmp.fast_1100mv_85c.ddb and b/proj_quartus/db/test.tiscmp.fast_1100mv_85c.ddb differ diff --git a/proj_quartus/db/test.tiscmp.slow_1100mv_0c.ddb b/proj_quartus/db/test.tiscmp.slow_1100mv_0c.ddb index 2c99c7b9c..27ba747f7 100644 Binary files a/proj_quartus/db/test.tiscmp.slow_1100mv_0c.ddb and b/proj_quartus/db/test.tiscmp.slow_1100mv_0c.ddb differ diff --git a/proj_quartus/db/test.tiscmp.slow_1100mv_85c.ddb b/proj_quartus/db/test.tiscmp.slow_1100mv_85c.ddb index 213c5e5db..6c1492488 100644 Binary files a/proj_quartus/db/test.tiscmp.slow_1100mv_85c.ddb and b/proj_quartus/db/test.tiscmp.slow_1100mv_85c.ddb differ diff --git a/proj_quartus/db/test.tmw_info b/proj_quartus/db/test.tmw_info index e085adcc7..0731ca0f8 100644 --- a/proj_quartus/db/test.tmw_info +++ b/proj_quartus/db/test.tmw_info @@ -1,7 +1,7 @@ -start_full_compilation:s:00:01:35 -start_analysis_synthesis:s:00:00:17-start_full_compilation +start_full_compilation:s:00:01:55 +start_analysis_synthesis:s:00:00:19-start_full_compilation start_analysis_elaboration:s-start_full_compilation -start_fitter:s:00:01:01-start_full_compilation +start_fitter:s:00:01:17-start_full_compilation start_assembler:s:00:00:08-start_full_compilation -start_timing_analyzer:s:00:00:07-start_full_compilation +start_timing_analyzer:s:00:00:09-start_full_compilation start_eda_netlist_writer:s:00:00:02-start_full_compilation diff --git a/proj_quartus/db/test.vpr.ammdb b/proj_quartus/db/test.vpr.ammdb index 5ffd7c83e..29bb07b71 100644 Binary files a/proj_quartus/db/test.vpr.ammdb and b/proj_quartus/db/test.vpr.ammdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.db_info b/proj_quartus/incremental_db/compiled_partitions/test.db_info index 8476f5afa..86a7002ea 100644 --- a/proj_quartus/incremental_db/compiled_partitions/test.db_info +++ b/proj_quartus/incremental_db/compiled_partitions/test.db_info @@ -1,3 +1,3 @@ -Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Quartus_Version = Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition Version_Index = 553882368 -Creation_Time = Mon Mar 6 14:17:33 2023 +Creation_Time = Wed Mar 8 14:51:20 2023 diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.ammdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.ammdb index a3de422ca..11ee3a4fc 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.ammdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.ammdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.cdb index 8617fb5ea..58a091ed0 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.cdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.cdb index 8527e601f..b34bbe948 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.cdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.hdb index 308f4ea86..4d8631271 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.hdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hbdb.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hdb index 148a24fa2..f34f11874 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.rcfdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.rcfdb index 0a490c1e0..16e3d2683 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.rcfdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.cmp.rcfdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.cdb index d7e472391..9927d80dc 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.cdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.dpi b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.dpi index a0b40e1d3..1cfa70fba 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.dpi and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.dpi differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.cdb index e602deca8..365fcb064 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.cdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.hdb index fd3a399da..8334a64d2 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.hdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hbdb.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hdb index 0cad6a00a..7d196fbae 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hdb and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.kpt b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.kpt index 002f59f40..7688a9c70 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.kpt and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.kpt differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olf.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olf.cdb new file mode 100644 index 000000000..0491ff1dc Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olf.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olm.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olm.cdb new file mode 100644 index 000000000..cb09730f9 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.olm.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.oln.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.oln.cdb new file mode 100644 index 000000000..206e0003b Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.oln.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.opi b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.opi new file mode 100644 index 000000000..56a6051ca --- /dev/null +++ b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.opi @@ -0,0 +1 @@ +1 \ No newline at end of file diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orf.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orf.cdb new file mode 100644 index 000000000..fbf53d533 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orf.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orm.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orm.cdb new file mode 100644 index 000000000..d18a8e9c4 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orm.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orn.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orn.cdb new file mode 100644 index 000000000..a9c483f87 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.map.orn.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.cdb new file mode 100644 index 000000000..9927d80dc Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.cdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.cdb new file mode 100644 index 000000000..365fcb064 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.cdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.hdb new file mode 100644 index 000000000..8334a64d2 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hbdb.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hdb b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hdb new file mode 100644 index 000000000..7d196fbae Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.kpt b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.kpt new file mode 100644 index 000000000..7688a9c70 Binary files /dev/null and b/proj_quartus/incremental_db/compiled_partitions/test.root_partition.rrp.kpt differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.rrp.hdb b/proj_quartus/incremental_db/compiled_partitions/test.rrp.hdb index 676e58059..b7f0f1bd5 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.rrp.hdb and b/proj_quartus/incremental_db/compiled_partitions/test.rrp.hdb differ diff --git a/proj_quartus/incremental_db/compiled_partitions/test.rrs.cdb b/proj_quartus/incremental_db/compiled_partitions/test.rrs.cdb index 36295cb75..7e6284863 100644 Binary files a/proj_quartus/incremental_db/compiled_partitions/test.rrs.cdb and b/proj_quartus/incremental_db/compiled_partitions/test.rrs.cdb differ diff --git a/proj_quartus/output_files/test.asm.rpt b/proj_quartus/output_files/test.asm.rpt index 113d3908a..d2ebab96a 100644 --- a/proj_quartus/output_files/test.asm.rpt +++ b/proj_quartus/output_files/test.asm.rpt @@ -1,6 +1,6 @@ Assembler report for test -Wed Mar 8 10:31:07 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:27:44 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -38,7 +38,7 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Wed Mar 8 10:31:07 2023 ; +; Assembler Status ; Successful - Wed Mar 8 15:27:44 2023 ; ; Revision Name ; test ; ; Top-level Entity Name ; neorv32_test_setup_bootloader ; ; Family ; Cyclone V ; @@ -53,13 +53,13 @@ https://fpgasoftware.intel.com/eula. +--------+---------+---------------+ -+---------------------------------------------------------------------+ -; Assembler Generated Files ; -+---------------------------------------------------------------------+ -; File Name ; -+---------------------------------------------------------------------+ -; /home/stepan/Travail/SETI/Projet/proj_quartus/output_files/test.sof ; -+---------------------------------------------------------------------+ ++--------------------------------------------------------------------------+ +; Assembler Generated Files ; ++--------------------------------------------------------------------------+ +; File Name ; ++--------------------------------------------------------------------------+ +; /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/output_files/test.sof ; ++--------------------------------------------------------------------------+ +------------------------------------+ @@ -67,8 +67,8 @@ https://fpgasoftware.intel.com/eula. +----------------+-------------------+ ; Option ; Setting ; +----------------+-------------------+ -; JTAG usercode ; 0x016DAFF4 ; -; Checksum ; 0x016DAFF4 ; +; JTAG usercode ; 0x023D6335 ; +; Checksum ; 0x023D6335 ; +----------------+-------------------+ @@ -77,15 +77,15 @@ https://fpgasoftware.intel.com/eula. +--------------------+ Info: ******************************************************************* Info: Running Quartus Prime Assembler - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Wed Mar 8 10:31:02 2023 + Info: Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition + Info: Processing started: Wed Mar 8 15:27:38 2023 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off bootloarder_1 -c test Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. Info (115030): Assembler is generating device programming files Info: Quartus Prime Assembler was successful. 0 errors, 1 warning - Info: Peak virtual memory: 543 megabytes - Info: Processing ended: Wed Mar 8 10:31:07 2023 - Info: Elapsed time: 00:00:05 - Info: Total CPU time (on all processors): 00:00:05 + Info: Peak virtual memory: 559 megabytes + Info: Processing ended: Wed Mar 8 15:27:44 2023 + Info: Elapsed time: 00:00:06 + Info: Total CPU time (on all processors): 00:00:06 diff --git a/proj_quartus/output_files/test.cdf b/proj_quartus/output_files/test.cdf index f9c5df373..2e18ccb5a 100644 --- a/proj_quartus/output_files/test.cdf +++ b/proj_quartus/output_files/test.cdf @@ -1,4 +1,4 @@ -/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition */ +/* Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition */ JedecChain; FileRevision(JESD32A); DefaultMfr(6E); @@ -6,7 +6,7 @@ JedecChain; P ActionCode(Ign) Device PartName(SOCVHPS) MfrSpec(OpMask(0)); P ActionCode(Cfg) - Device PartName(5CSEMA5F31) Path("/home/stepan/Travail/SETI/Projet/proj_quartus/output_files/") File("test.sof") MfrSpec(OpMask(1)); + Device PartName(5CSEMA5F31) Path("/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/output_files/") File("test.sof") MfrSpec(OpMask(1)); ChainEnd; diff --git a/proj_quartus/output_files/test.done b/proj_quartus/output_files/test.done index 1fa53be36..0441afd98 100644 --- a/proj_quartus/output_files/test.done +++ b/proj_quartus/output_files/test.done @@ -1 +1 @@ -Wed Mar 8 10:31:17 2023 +Wed Mar 8 15:27:56 2023 diff --git a/proj_quartus/output_files/test.eda.rpt b/proj_quartus/output_files/test.eda.rpt index f87f0764d..87eda5504 100644 --- a/proj_quartus/output_files/test.eda.rpt +++ b/proj_quartus/output_files/test.eda.rpt @@ -1,6 +1,6 @@ EDA Netlist Writer report for test -Wed Mar 8 10:31:17 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:27:56 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -37,7 +37,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------------------------------------+ ; EDA Netlist Writer Summary ; +---------------------------+---------------------------------------+ -; EDA Netlist Writer Status ; Successful - Wed Mar 8 10:31:17 2023 ; +; EDA Netlist Writer Status ; Successful - Wed Mar 8 15:27:56 2023 ; ; Revision Name ; test ; ; Top-level Entity Name ; neorv32_test_setup_bootloader ; ; Family ; Cyclone V ; @@ -66,13 +66,13 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------------------------------------------------------------+-----------------------------+ -+-------------------------------------------------------------------------+ -; Simulation Generated Files ; -+-------------------------------------------------------------------------+ -; Generated Files ; -+-------------------------------------------------------------------------+ -; /home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/test.vo ; -+-------------------------------------------------------------------------+ ++------------------------------------------------------------------------------+ +; Simulation Generated Files ; ++------------------------------------------------------------------------------+ +; Generated Files ; ++------------------------------------------------------------------------------+ +; /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/test.vo ; ++------------------------------------------------------------------------------+ +-----------------------------+ @@ -80,15 +80,15 @@ https://fpgasoftware.intel.com/eula. +-----------------------------+ Info: ******************************************************************* Info: Running Quartus Prime EDA Netlist Writer - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Wed Mar 8 10:31:16 2023 + Info: Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition + Info: Processing started: Wed Mar 8 15:27:54 2023 Info: Command: quartus_eda --read_settings_files=off --write_settings_files=off bootloarder_1 -c test Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (204019): Generated file test.vo in folder "/home/stepan/Travail/SETI/Projet/proj_quartus/simulation/questa/" for EDA simulation tool +Info (204019): Generated file test.vo in folder "/home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/simulation/questa/" for EDA simulation tool Info: Quartus Prime EDA Netlist Writer was successful. 0 errors, 1 warning - Info: Peak virtual memory: 713 megabytes - Info: Processing ended: Wed Mar 8 10:31:17 2023 - Info: Elapsed time: 00:00:01 + Info: Peak virtual memory: 729 megabytes + Info: Processing ended: Wed Mar 8 15:27:56 2023 + Info: Elapsed time: 00:00:02 Info: Total CPU time (on all processors): 00:00:01 diff --git a/proj_quartus/output_files/test.fit.rpt b/proj_quartus/output_files/test.fit.rpt index 41fbee435..133b4b56a 100644 --- a/proj_quartus/output_files/test.fit.rpt +++ b/proj_quartus/output_files/test.fit.rpt @@ -1,6 +1,6 @@ Fitter report for test -Wed Mar 8 10:30:59 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:27:36 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -62,30 +62,30 @@ https://fpgasoftware.intel.com/eula. -+----------------------------------------------------------------------------------+ -; Fitter Summary ; -+---------------------------------+------------------------------------------------+ -; Fitter Status ; Successful - Wed Mar 8 10:30:59 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; test ; -; Top-level Entity Name ; neorv32_test_setup_bootloader ; -; Family ; Cyclone V ; -; Device ; 5CSEMA5F31C6 ; -; Timing Models ; Final ; -; Logic utilization (in ALMs) ; 1,268 / 32,070 ( 4 % ) ; -; Total registers ; 1564 ; -; Total pins ; 12 / 457 ( 3 % ) ; -; Total virtual pins ; 0 ; -; Total block memory bits ; 231,424 / 4,065,280 ( 6 % ) ; -; Total RAM Blocks ; 30 / 397 ( 8 % ) ; -; Total DSP Blocks ; 0 / 87 ( 0 % ) ; -; Total HSSI RX PCSs ; 0 ; -; Total HSSI PMA RX Deserializers ; 0 ; -; Total HSSI TX PCSs ; 0 ; -; Total HSSI PMA TX Serializers ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; -; Total DLLs ; 0 / 4 ( 0 % ) ; -+---------------------------------+------------------------------------------------+ ++--------------------------------------------------------------------------------------+ +; Fitter Summary ; ++---------------------------------+----------------------------------------------------+ +; Fitter Status ; Successful - Wed Mar 8 15:27:36 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Standard Edition ; +; Revision Name ; test ; +; Top-level Entity Name ; neorv32_test_setup_bootloader ; +; Family ; Cyclone V ; +; Device ; 5CSEMA5F31C6 ; +; Timing Models ; Final ; +; Logic utilization (in ALMs) ; 1,415 / 32,070 ( 4 % ) ; +; Total registers ; 1677 ; +; Total pins ; 12 / 457 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total block memory bits ; 559,104 / 4,065,280 ( 14 % ) ; +; Total RAM Blocks ; 70 / 397 ( 18 % ) ; +; Total DSP Blocks ; 0 / 87 ( 0 % ) ; +; Total HSSI RX PCSs ; 0 ; +; Total HSSI PMA RX Deserializers ; 0 ; +; Total HSSI TX PCSs ; 0 ; +; Total HSSI PMA TX Serializers ; 0 ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 4 ( 0 % ) ; ++---------------------------------+----------------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -152,25 +152,19 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 12 ; +; Number detected on machine ; 6 ; +; Maximum allowed ; 6 ; ; ; ; -; Average used ; 1.31 ; -; Maximum used ; 12 ; +; Average used ; 1.18 ; +; Maximum used ; 6 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 3.4% ; -; Processor 3 ; 3.1% ; -; Processor 4 ; 3.0% ; -; Processor 5 ; 2.9% ; -; Processor 6 ; 2.8% ; -; Processor 7 ; 2.8% ; -; Processor 8 ; 2.7% ; -; Processor 9 ; 2.5% ; -; Processor 10 ; 2.5% ; -; Processor 11 ; 2.5% ; -; Processor 12 ; 2.5% ; +; Processor 2 ; 4.1% ; +; Processor 3 ; 3.8% ; +; Processor 4 ; 3.6% ; +; Processor 5 ; 3.4% ; +; Processor 6 ; 3.3% ; +----------------------------+-------------+ @@ -180,223 +174,226 @@ https://fpgasoftware.intel.com/eula. ; Node ; Action ; Operation ; Reason ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ ; clk_i~inputCLKENA0 ; Created ; Placement ; Fitter Periphery Placement ; ; ; ; ; ; -; neorv32_top:neorv32_top_inst|clk_div[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|clk_div[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|clk_div[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|clk_div[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|clk_div[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|clk_div[11]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.bus_err ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.bus_err~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.pending ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.pending~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.A_RETIRE ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_RETIRE ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.IDLE ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_wr_req_buf ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_rd_req_buf ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_cy ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_ir ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|misaligned ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|misaligned~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.wdata[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.wdata[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[27] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_mti ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[27] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[27] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mpie ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_op[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_op[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_opb_mux ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.rf_mux[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mie ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[22]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[26] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[26]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[27] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[27]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[38] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[38]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.SYSTEM ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.DISPATCH ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.restart ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[12]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[30] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[30]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[14] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[14]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|issue_engine.align ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|issue_engine.align~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.env_start ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.w_pnt[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE ; ; ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE ; ; ; +; neorv32_top:neorv32_top_inst|rstn_int_sreg[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; neorv32_top:neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE ; ; ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ @@ -415,8 +412,8 @@ https://fpgasoftware.intel.com/eula. ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+---------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 3487 ) ; 0.00 % ( 0 / 3487 ) ; 0.00 % ( 0 / 3487 ) ; -; -- Achieved ; 0.00 % ( 0 / 3487 ) ; 0.00 % ( 0 / 3487 ) ; 0.00 % ( 0 / 3487 ) ; +; -- Requested ; 0.00 % ( 0 / 3833 ) ; 0.00 % ( 0 / 3833 ) ; 0.00 % ( 0 / 3833 ) ; +; -- Achieved ; 0.00 % ( 0 / 3833 ) ; 0.00 % ( 0 / 3833 ) ; 0.00 % ( 0 / 3833 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; @@ -439,7 +436,7 @@ https://fpgasoftware.intel.com/eula. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 3487 ) ; N/A ; Source File ; N/A ; ; +; Top ; 0.00 % ( 0 / 3833 ) ; N/A ; Source File ; N/A ; ; ; hard_block:auto_generated_inst ; 0.00 % ( 0 / 0 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -447,7 +444,7 @@ https://fpgasoftware.intel.com/eula. +--------------+ ; Pin-Out File ; +--------------+ -The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/output_files/test.pin. +The pin-out file can be found in /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/output_files/test.pin. +---------------------------------------------------------------------------------------------+ @@ -455,41 +452,41 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o +-------------------------------------------------------------+-----------------------+-------+ ; Resource ; Usage ; % ; +-------------------------------------------------------------+-----------------------+-------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 1,268 / 32,070 ; 4 % ; -; ALMs needed [=A-B+C] ; 1,268 ; ; -; [A] ALMs used in final placement [=a+b+c+d] ; 1,399 / 32,070 ; 4 % ; -; [a] ALMs used for LUT logic and registers ; 484 ; ; -; [b] ALMs used for LUT logic ; 729 ; ; -; [c] ALMs used for registers ; 186 ; ; +; Logic utilization (ALMs needed / total ALMs on device) ; 1,415 / 32,070 ; 4 % ; +; ALMs needed [=A-B+C] ; 1,415 ; ; +; [A] ALMs used in final placement [=a+b+c+d] ; 1,560 / 32,070 ; 5 % ; +; [a] ALMs used for LUT logic and registers ; 501 ; ; +; [b] ALMs used for LUT logic ; 836 ; ; +; [c] ALMs used for registers ; 223 ; ; ; [d] ALMs used for memory (up to half of total ALMs) ; 0 ; ; -; [B] Estimate of ALMs recoverable by dense packing ; 154 / 32,070 ; < 1 % ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 23 / 32,070 ; < 1 % ; +; [B] Estimate of ALMs recoverable by dense packing ; 175 / 32,070 ; < 1 % ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 30 / 32,070 ; < 1 % ; ; [a] Due to location constrained logic ; 0 ; ; -; [b] Due to LAB-wide signal conflicts ; 3 ; ; -; [c] Due to LAB input limits ; 20 ; ; +; [b] Due to LAB-wide signal conflicts ; 2 ; ; +; [c] Due to LAB input limits ; 28 ; ; ; [d] Due to virtual I/Os ; 0 ; ; ; ; ; ; ; Difficulty packing design ; Low ; ; ; ; ; ; -; Total LABs: partially or completely used ; 195 / 3,207 ; 6 % ; -; -- Logic LABs ; 195 ; ; +; Total LABs: partially or completely used ; 197 / 3,207 ; 6 % ; +; -- Logic LABs ; 197 ; ; ; -- Memory LABs (up to half of total LABs) ; 0 ; ; ; ; ; ; -; Combinational ALUT usage for logic ; 1,956 ; ; -; -- 7 input functions ; 28 ; ; -; -- 6 input functions ; 360 ; ; -; -- 5 input functions ; 463 ; ; -; -- 4 input functions ; 305 ; ; -; -- <=3 input functions ; 800 ; ; -; Combinational ALUT usage for route-throughs ; 243 ; ; +; Combinational ALUT usage for logic ; 2,193 ; ; +; -- 7 input functions ; 33 ; ; +; -- 6 input functions ; 387 ; ; +; -- 5 input functions ; 527 ; ; +; -- 4 input functions ; 400 ; ; +; -- <=3 input functions ; 846 ; ; +; Combinational ALUT usage for route-throughs ; 275 ; ; ; ; ; ; -; Dedicated logic registers ; 1,564 ; ; +; Dedicated logic registers ; 1,677 ; ; ; -- By type: ; ; ; -; -- Primary logic registers ; 1,339 / 64,140 ; 2 % ; -; -- Secondary logic registers ; 225 / 64,140 ; < 1 % ; +; -- Primary logic registers ; 1,446 / 64,140 ; 2 % ; +; -- Secondary logic registers ; 231 / 64,140 ; < 1 % ; ; -- By function: ; ; ; -; -- Design implementation registers ; 1,347 ; ; -; -- Routing optimization registers ; 217 ; ; +; -- Design implementation registers ; 1,457 ; ; +; -- Routing optimization registers ; 220 ; ; ; ; ; ; ; Virtual pins ; 0 ; ; ; I/O pins ; 12 / 457 ; 3 % ; @@ -523,10 +520,10 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o ; -- UART ; 0 / 2 ( 0 % ) ; ; ; -- USB ; 0 / 2 ( 0 % ) ; ; ; ; ; ; -; M10K blocks ; 30 / 397 ; 8 % ; +; M10K blocks ; 70 / 397 ; 18 % ; ; Total MLAB memory bits ; 0 ; ; -; Total block memory bits ; 231,424 / 4,065,280 ; 6 % ; -; Total block memory implementation bits ; 307,200 / 4,065,280 ; 8 % ; +; Total block memory bits ; 559,104 / 4,065,280 ; 14 % ; +; Total block memory implementation bits ; 716,800 / 4,065,280 ; 18 % ; ; ; ; ; ; Total DSP Blocks ; 0 / 87 ; 0 % ; ; ; ; ; @@ -544,12 +541,12 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o ; Oscillator blocks ; 0 / 1 ; 0 % ; ; Impedance control blocks ; 0 / 4 ; 0 % ; ; Hard Memory Controllers ; 0 / 2 ; 0 % ; -; Average interconnect usage (total/H/V) ; 1.5% / 1.6% / 1.1% ; ; -; Peak interconnect usage (total/H/V) ; 20.0% / 21.5% / 15.7% ; ; -; Maximum fan-out ; 1594 ; ; -; Highest non-global fan-out ; 997 ; ; -; Total fan-out ; 15154 ; ; -; Average fan-out ; 3.97 ; ; +; Average interconnect usage (total/H/V) ; 1.8% / 1.8% / 1.6% ; ; +; Peak interconnect usage (total/H/V) ; 31.0% / 32.6% / 26.0% ; ; +; Maximum fan-out ; 1747 ; ; +; Highest non-global fan-out ; 1039 ; ; +; Total fan-out ; 17253 ; ; +; Average fan-out ; 4.07 ; ; +-------------------------------------------------------------+-----------------------+-------+ @@ -558,52 +555,52 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o +-------------------------------------------------------------+-----------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +-------------------------------------------------------------+-----------------------+--------------------------------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 1268 / 32070 ( 4 % ) ; 0 / 32070 ( 0 % ) ; -; ALMs needed [=A-B+C] ; 1268 ; 0 ; -; [A] ALMs used in final placement [=a+b+c+d] ; 1399 / 32070 ( 4 % ) ; 0 / 32070 ( 0 % ) ; -; [a] ALMs used for LUT logic and registers ; 484 ; 0 ; -; [b] ALMs used for LUT logic ; 729 ; 0 ; -; [c] ALMs used for registers ; 186 ; 0 ; +; Logic utilization (ALMs needed / total ALMs on device) ; 1415 / 32070 ( 4 % ) ; 0 / 32070 ( 0 % ) ; +; ALMs needed [=A-B+C] ; 1415 ; 0 ; +; [A] ALMs used in final placement [=a+b+c+d] ; 1560 / 32070 ( 5 % ) ; 0 / 32070 ( 0 % ) ; +; [a] ALMs used for LUT logic and registers ; 501 ; 0 ; +; [b] ALMs used for LUT logic ; 836 ; 0 ; +; [c] ALMs used for registers ; 223 ; 0 ; ; [d] ALMs used for memory (up to half of total ALMs) ; 0 ; 0 ; -; [B] Estimate of ALMs recoverable by dense packing ; 154 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 23 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; [B] Estimate of ALMs recoverable by dense packing ; 175 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 30 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; ; [a] Due to location constrained logic ; 0 ; 0 ; -; [b] Due to LAB-wide signal conflicts ; 3 ; 0 ; -; [c] Due to LAB input limits ; 20 ; 0 ; +; [b] Due to LAB-wide signal conflicts ; 2 ; 0 ; +; [c] Due to LAB input limits ; 28 ; 0 ; ; [d] Due to virtual I/Os ; 0 ; 0 ; ; ; ; ; ; Difficulty packing design ; Low ; Low ; ; ; ; ; -; Total LABs: partially or completely used ; 195 / 3207 ( 6 % ) ; 0 / 3207 ( 0 % ) ; -; -- Logic LABs ; 195 ; 0 ; +; Total LABs: partially or completely used ; 197 / 3207 ( 6 % ) ; 0 / 3207 ( 0 % ) ; +; -- Logic LABs ; 197 ; 0 ; ; -- Memory LABs (up to half of total LABs) ; 0 ; 0 ; ; ; ; ; -; Combinational ALUT usage for logic ; 1956 ; 0 ; -; -- 7 input functions ; 28 ; 0 ; -; -- 6 input functions ; 360 ; 0 ; -; -- 5 input functions ; 463 ; 0 ; -; -- 4 input functions ; 305 ; 0 ; -; -- <=3 input functions ; 800 ; 0 ; -; Combinational ALUT usage for route-throughs ; 243 ; 0 ; +; Combinational ALUT usage for logic ; 2193 ; 0 ; +; -- 7 input functions ; 33 ; 0 ; +; -- 6 input functions ; 387 ; 0 ; +; -- 5 input functions ; 527 ; 0 ; +; -- 4 input functions ; 400 ; 0 ; +; -- <=3 input functions ; 846 ; 0 ; +; Combinational ALUT usage for route-throughs ; 275 ; 0 ; ; Memory ALUT usage ; 0 ; 0 ; ; -- 64-address deep ; 0 ; 0 ; ; -- 32-address deep ; 0 ; 0 ; ; ; ; ; ; Dedicated logic registers ; 0 ; 0 ; ; -- By type: ; ; ; -; -- Primary logic registers ; 1339 / 64140 ( 2 % ) ; 0 / 64140 ( 0 % ) ; -; -- Secondary logic registers ; 225 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; +; -- Primary logic registers ; 1446 / 64140 ( 2 % ) ; 0 / 64140 ( 0 % ) ; +; -- Secondary logic registers ; 231 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; ; -- By function: ; ; ; -; -- Design implementation registers ; 1347 ; 0 ; -; -- Routing optimization registers ; 217 ; 0 ; +; -- Design implementation registers ; 1457 ; 0 ; +; -- Routing optimization registers ; 220 ; 0 ; ; ; ; ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; ; I/O pins ; 12 ; 0 ; ; I/O registers ; 0 ; 0 ; -; Total block memory bits ; 231424 ; 0 ; -; Total block memory implementation bits ; 307200 ; 0 ; -; M10K block ; 30 / 397 ( 7 % ) ; 0 / 397 ( 0 % ) ; +; Total block memory bits ; 559104 ; 0 ; +; Total block memory implementation bits ; 716800 ; 0 ; +; M10K block ; 70 / 397 ( 17 % ) ; 0 / 397 ( 0 % ) ; ; Clock enable block ; 1 / 116 ( < 1 % ) ; 0 / 116 ( 0 % ) ; ; ; ; ; ; Connections ; ; ; @@ -613,8 +610,8 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 15899 ; 0 ; -; -- Registered Connections ; 7258 ; 0 ; +; -- Total Connections ; 19194 ; 0 ; +; -- Registered Connections ; 8079 ; 0 ; ; ; ; ; ; External Connections ; ; ; ; -- Top ; 0 ; 0 ; @@ -646,8 +643,8 @@ The pin-out file can be found in /home/stepan/Travail/SETI/Projet/proj_quartus/o +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+-----------+ ; Name ; Pin # ; I/O Bank ; X coordinate ; Y coordinate ; Z coordinate ; Combinational Fan-Out ; Registered Fan-Out ; Global ; Input Register ; PCI I/O Enabled ; Bus Hold ; Weak Pull Up ; I/O Standard ; Termination ; Termination Control Block ; Location assigned by ; Slew Rate ; +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+-----------+ -; clk_i ; AF14 ; 3B ; 32 ; 0 ; 0 ; 1594 ; 0 ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; -- ; User ; no ; -; rstn_i ; AA15 ; 3B ; 36 ; 0 ; 17 ; 7 ; 0 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; -- ; User ; no ; +; clk_i ; AF14 ; 3B ; 32 ; 0 ; 0 ; 1747 ; 0 ; yes ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; -- ; User ; no ; +; rstn_i ; AA15 ; 3B ; 36 ; 0 ; 17 ; 6 ; 0 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; -- ; User ; no ; ; uart0_rxd_i ; AC18 ; 4A ; 64 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; no ; Off ; 3.3-V LVCMOS ; Off ; -- ; User ; no ; +-------------+-------+----------+--------------+--------------+--------------+-----------------------+--------------------+--------+----------------+-----------------+----------+--------------+--------------+-------------+---------------------------+----------------------+-----------+ @@ -1613,56 +1610,57 @@ Note: Pin directions (input, output or bidir) are based on device operating in u +-------------+--------------------------------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter Resource Utilization by Entity ; -+-------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ -; Compilation Hierarchy Node ; ALMs needed [=A-B+C] ; [A] ALMs used in final placement ; [B] Estimate of ALMs recoverable by dense packing ; [C] Estimate of ALMs unavailable ; ALMs used for memory ; Combinational ALUTs ; Dedicated Logic Registers ; I/O Registers ; Block Memory Bits ; M10Ks ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+-------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ -; |neorv32_test_setup_bootloader ; 1267.5 (0.5) ; 1398.5 (0.5) ; 153.0 (0.0) ; 22.0 (0.0) ; 0.0 (0.0) ; 1956 (1) ; 1564 (0) ; 0 (0) ; 231424 ; 30 ; 0 ; 12 ; 0 ; |neorv32_test_setup_bootloader ; neorv32_test_setup_bootloader ; work ; -; |neorv32_top:neorv32_top_inst| ; 1267.0 (45.9) ; 1398.0 (58.5) ; 153.0 (13.8) ; 22.0 (1.1) ; 0.0 (0.0) ; 1955 (105) ; 1564 (29) ; 0 (0) ; 231424 ; 30 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst ; neorv32_top ; neorv32 ; -; |neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst| ; 1.3 (1.3) ; 1.8 (1.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 4 (4) ; 3 (3) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; neorv32_boot_rom ; neorv32 ; -; |altsyncram:Mux26_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0 ; altsyncram ; work ; -; |altsyncram_bg91:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated ; altsyncram_bg91 ; work ; -; |neorv32_bus_keeper:neorv32_bus_keeper_inst| ; 10.0 (10.0) ; 10.2 (10.2) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 17 (17) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst ; neorv32_bus_keeper ; neorv32 ; -; |neorv32_busswitch:neorv32_busswitch_inst| ; 27.3 (27.3) ; 31.3 (31.3) ; 4.3 (4.3) ; 0.3 (0.3) ; 0.0 (0.0) ; 54 (54) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst ; neorv32_busswitch ; neorv32 ; -; |neorv32_cpu:neorv32_cpu_inst| ; 963.0 (0.0) ; 1053.5 (0.0) ; 109.7 (0.0) ; 19.2 (0.0) ; 0.0 (0.0) ; 1459 (0) ; 1126 (0) ; 0 (0) ; 2048 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst ; neorv32_cpu ; neorv32 ; -; |neorv32_cpu_alu:neorv32_cpu_alu_inst| ; 288.0 (55.3) ; 292.5 (55.3) ; 8.4 (2.7) ; 3.9 (2.6) ; 0.0 (0.0) ; 469 (110) ; 276 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst ; neorv32_cpu_alu ; neorv32 ; -; |neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst| ; 208.1 (208.1) ; 212.4 (212.4) ; 5.7 (5.7) ; 1.3 (1.3) ; 0.0 (0.0) ; 314 (314) ; 212 (212) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; neorv32_cpu_cp_muldiv ; neorv32 ; -; |neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst| ; 24.7 (24.7) ; 24.8 (24.8) ; 0.1 (0.1) ; 0.0 (0.0) ; 0.0 (0.0) ; 45 (45) ; 53 (53) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst ; neorv32_cpu_cp_shifter ; neorv32 ; -; |neorv32_cpu_bus:neorv32_cpu_bus_inst| ; 55.0 (55.0) ; 53.4 (53.4) ; 0.8 (0.8) ; 2.4 (2.4) ; 0.0 (0.0) ; 40 (40) ; 107 (107) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst ; neorv32_cpu_bus ; neorv32 ; -; |neorv32_cpu_control:neorv32_cpu_control_inst| ; 493.3 (482.6) ; 566.3 (555.2) ; 83.9 (83.1) ; 10.9 (10.5) ; 0.0 (0.0) ; 775 (773) ; 743 (705) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst ; neorv32_cpu_control ; neorv32 ; -; |neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst| ; 5.5 (5.5) ; 5.4 (5.4) ; 0.0 (0.0) ; 0.1 (0.1) ; 0.0 (0.0) ; 1 (1) ; 18 (18) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst| ; 5.2 (5.2) ; 5.7 (5.7) ; 0.7 (0.7) ; 0.2 (0.2) ; 0.0 (0.0) ; 1 (1) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_cpu_regfile:neorv32_cpu_regfile_inst| ; 126.7 (126.7) ; 141.3 (141.3) ; 16.6 (16.6) ; 1.9 (1.9) ; 0.0 (0.0) ; 175 (175) ; 0 (0) ; 0 (0) ; 2048 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst ; neorv32_cpu_regfile ; neorv32 ; -; |altsyncram:reg_file[0][31]__1| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 ; altsyncram ; work ; -; |altsyncram_u2n1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; -; |altsyncram:reg_file[0][31]__2| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 ; altsyncram ; work ; -; |altsyncram_u2n1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; -; |neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst| ; 7.7 (7.7) ; 8.8 (8.8) ; 1.1 (1.1) ; 0.0 (0.0) ; 0.0 (0.0) ; 15 (15) ; 3 (3) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; neorv32_dmem ; neorv32 ; -; |altsyncram:mem_ram_b0_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b1_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b2_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b3_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst| ; 7.5 (7.5) ; 10.8 (10.8) ; 3.3 (3.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 20 (20) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst ; neorv32_gpio ; neorv32 ; -; |neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst| ; 5.0 (5.0) ; 5.5 (5.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 12 (12) ; 3 (3) ; 0 (0) ; 131072 ; 16 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; neorv32_imem ; neorv32 ; -; |altsyncram:mem_ram_b0_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b1_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b2_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b3_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst| ; 119.3 (119.3) ; 125.7 (125.7) ; 6.3 (6.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 172 (172) ; 198 (198) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst ; neorv32_mtime ; neorv32 ; -; |neorv32_sysinfo:neorv32_sysinfo_inst| ; 4.0 (4.0) ; 4.6 (4.6) ; 0.6 (0.6) ; 0.0 (0.0) ; 0.0 (0.0) ; 8 (8) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst ; neorv32_sysinfo ; neorv32 ; -; |neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst| ; 75.8 (65.3) ; 87.3 (75.9) ; 12.8 (11.6) ; 1.3 (1.0) ; 0.0 (0.0) ; 104 (91) ; 148 (122) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst ; neorv32_uart ; neorv32 ; -; |neorv32_fifo:rx_engine_fifo_inst| ; 6.3 (6.3) ; 6.2 (6.2) ; 0.0 (0.0) ; 0.1 (0.1) ; 0.0 (0.0) ; 7 (7) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_fifo:tx_engine_fifo_inst| ; 3.6 (3.6) ; 5.3 (5.3) ; 1.8 (1.8) ; 0.1 (0.1) ; 0.0 (0.0) ; 6 (6) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; -+-------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter Resource Utilization by Entity ; ++----------------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ +; Compilation Hierarchy Node ; ALMs needed [=A-B+C] ; [A] ALMs used in final placement ; [B] Estimate of ALMs recoverable by dense packing ; [C] Estimate of ALMs unavailable ; ALMs used for memory ; Combinational ALUTs ; Dedicated Logic Registers ; I/O Registers ; Block Memory Bits ; M10Ks ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ +; |neorv32_test_setup_bootloader ; 1414.5 (0.6) ; 1558.5 (0.5) ; 173.0 (0.0) ; 29.0 (0.1) ; 0.0 (0.0) ; 2193 (1) ; 1677 (0) ; 0 (0) ; 559104 ; 70 ; 0 ; 12 ; 0 ; |neorv32_test_setup_bootloader ; neorv32_test_setup_bootloader ; work ; +; |neorv32_top:neorv32_top_inst| ; 1413.9 (59.3) ; 1558.0 (72.7) ; 173.0 (15.3) ; 28.9 (1.9) ; 0.0 (0.0) ; 2192 (123) ; 1677 (29) ; 0 (0) ; 559104 ; 70 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst ; neorv32_top ; neorv32 ; +; |neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst| ; 1.8 (1.8) ; 1.8 (1.8) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 2 (2) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; neorv32_boot_rom ; neorv32 ; +; |altsyncram:Mux26_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0 ; altsyncram ; work ; +; |altsyncram_bg91:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 32768 ; 4 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated ; altsyncram_bg91 ; work ; +; |neorv32_bus_keeper:neorv32_bus_keeper_inst| ; 8.1 (8.1) ; 9.2 (9.2) ; 1.2 (1.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 17 (17) ; 14 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst ; neorv32_bus_keeper ; neorv32 ; +; |neorv32_busswitch:neorv32_busswitch_inst| ; 35.2 (35.2) ; 36.4 (36.4) ; 1.2 (1.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 71 (71) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst ; neorv32_busswitch ; neorv32 ; +; |neorv32_cpu:neorv32_cpu_inst| ; 1067.9 (0.0) ; 1141.1 (0.0) ; 97.2 (0.0) ; 24.1 (0.0) ; 0.0 (0.0) ; 1633 (0) ; 1172 (0) ; 0 (0) ; 2048 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst ; neorv32_cpu ; neorv32 ; +; |neorv32_cpu_alu:neorv32_cpu_alu_inst| ; 283.7 (49.6) ; 284.7 (47.7) ; 7.1 (1.5) ; 6.1 (3.4) ; 0.0 (0.0) ; 454 (95) ; 275 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst ; neorv32_cpu_alu ; neorv32 ; +; |neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst| ; 209.5 (209.5) ; 212.4 (212.4) ; 5.6 (5.6) ; 2.7 (2.7) ; 0.0 (0.0) ; 314 (314) ; 216 (216) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; neorv32_cpu_cp_muldiv ; neorv32 ; +; |neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst| ; 24.7 (24.7) ; 24.7 (24.7) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 45 (45) ; 59 (59) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst ; neorv32_cpu_cp_shifter ; neorv32 ; +; |neorv32_cpu_bus:neorv32_cpu_bus_inst| ; 52.6 (52.6) ; 53.9 (53.9) ; 5.1 (5.1) ; 3.7 (3.7) ; 0.0 (0.0) ; 39 (39) ; 106 (106) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst ; neorv32_cpu_bus ; neorv32 ; +; |neorv32_cpu_control:neorv32_cpu_control_inst| ; 604.3 (546.0) ; 665.7 (605.0) ; 73.7 (69.3) ; 12.3 (10.3) ; 0.0 (0.0) ; 965 (905) ; 791 (710) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst ; neorv32_cpu_control ; neorv32 ; +; |neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst| ; 25.9 (25.9) ; 26.3 (26.3) ; 0.4 (0.4) ; 0.1 (0.1) ; 0.0 (0.0) ; 41 (41) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst ; neorv32_cpu_decompressor ; neorv32 ; +; |neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst| ; 17.7 (17.7) ; 16.3 (16.3) ; 0.2 (0.2) ; 1.6 (1.6) ; 0.0 (0.0) ; 9 (9) ; 41 (41) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst| ; 14.6 (14.6) ; 18.1 (18.1) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10 (10) ; 40 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_cpu_regfile:neorv32_cpu_regfile_inst| ; 127.4 (127.4) ; 136.8 (136.8) ; 11.4 (11.4) ; 2.0 (2.0) ; 0.0 (0.0) ; 175 (175) ; 0 (0) ; 0 (0) ; 2048 ; 2 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst ; neorv32_cpu_regfile ; neorv32 ; +; |altsyncram:reg_file[0][31]__1| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 ; altsyncram ; work ; +; |altsyncram_u2n1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; +; |altsyncram:reg_file[0][31]__2| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 ; altsyncram ; work ; +; |altsyncram_u2n1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; +; |neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst| ; 10.3 (10.3) ; 10.9 (10.9) ; 0.6 (0.6) ; 0.0 (0.0) ; 0.0 (0.0) ; 16 (16) ; 3 (3) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; neorv32_dmem ; neorv32 ; +; |altsyncram:mem_ram_b0_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b1_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b2_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b3_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst| ; 22.9 (22.9) ; 48.6 (48.6) ; 26.8 (26.8) ; 1.2 (1.2) ; 0.0 (0.0) ; 38 (38) ; 99 (99) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst ; neorv32_gpio ; neorv32 ; +; |neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst| ; 7.4 (7.4) ; 7.4 (7.4) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 14 (14) ; 2 (2) ; 0 (0) ; 262144 ; 32 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; neorv32_imem ; neorv32 ; +; |altsyncram:mem_ram_b0_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b1_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b2_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b3_rtl_0| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst| ; 120.2 (120.2) ; 131.7 (131.7) ; 11.5 (11.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 165 (165) ; 192 (192) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst ; neorv32_mtime ; neorv32 ; +; |neorv32_sysinfo:neorv32_sysinfo_inst| ; 4.1 (4.1) ; 4.4 (4.4) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst ; neorv32_sysinfo ; neorv32 ; +; |neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst| ; 76.2 (66.8) ; 93.7 (81.0) ; 19.3 (15.7) ; 1.7 (1.5) ; 0.0 (0.0) ; 103 (91) ; 146 (123) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst ; neorv32_uart ; neorv32 ; +; |neorv32_fifo:rx_engine_fifo_inst| ; 6.6 (6.6) ; 7.0 (7.0) ; 0.6 (0.6) ; 0.2 (0.2) ; 0.0 (0.0) ; 6 (6) ; 12 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_fifo:tx_engine_fifo_inst| ; 2.8 (2.8) ; 5.8 (5.8) ; 3.0 (3.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; ++----------------------------------------------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -1681,8 +1679,8 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; gpio_o[7] ; Output ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; uart0_txd_o ; Output ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; clk_i ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -; rstn_i ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; uart0_rxd_i ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; rstn_i ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; uart0_rxd_i ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +-------------+----------+----+------+------+----+------+-------+--------+------------------------+--------------------------+ @@ -1693,15 +1691,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +----------------------------------------------------------------------------------------------------------------+-------------------+---------+ ; clk_i ; ; ; ; rstn_i ; ; ; -; - neorv32_top:neorv32_top_inst|rstn_int ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[0] ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[1] ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[2] ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[3] ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE ; 1 ; 0 ; -; - neorv32_top:neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE ; 1 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int_sreg[0] ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int_sreg[1] ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int_sreg[2] ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int_sreg[3] ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE ; 0 ; 0 ; ; uart0_rxd_i ; ; ; -; - neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] ; 0 ; 0 ; +; - neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] ; 1 ; 0 ; +----------------------------------------------------------------------------------------------------------------+-------------------+---------+ @@ -1710,93 +1707,99 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ -; clk_i ; PIN_AF14 ; 1594 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; -; neorv32_top:neorv32_top_inst|clk_gen_en_ff ; FF_X65_Y14_N34 ; 13 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 ; LABCELL_X64_Y15_N45 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|Selector1~0 ; MLABCELL_X65_Y12_N51 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|p_bus_addr_o[2]~1 ; LABCELL_X64_Y12_N48 ; 89 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|p_bus_addr_o[3]~0 ; LABCELL_X64_Y12_N6 ; 79 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|cp_monitor.run ; FF_X60_Y11_N53 ; 10 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 ; LABCELL_X73_Y12_N36 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 ; MLABCELL_X65_Y9_N57 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 ; MLABCELL_X72_Y9_N39 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ; FF_X67_Y13_N59 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE ; FF_X65_Y9_N38 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0 ; LABCELL_X73_Y12_N57 ; 83 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start ; LABCELL_X74_Y11_N15 ; 74 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0 ; LABCELL_X74_Y8_N39 ; 78 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start ; LABCELL_X74_Y10_N39 ; 80 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 ; LABCELL_X64_Y11_N39 ; 47 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux20~0 ; MLABCELL_X65_Y11_N9 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux49~0 ; LABCELL_X64_Y13_N36 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Selector78~0 ; LABCELL_X66_Y12_N12 ; 38 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|WideNor0 ; LABCELL_X63_Y12_N27 ; 31 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ; FF_X61_Y7_N23 ; 45 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.cycle[1] ; FF_X61_Y7_N50 ; 46 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.instret[0] ; FF_X61_Y7_N35 ; 51 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.instret[1] ; FF_X61_Y7_N38 ; 47 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 ; LABCELL_X60_Y9_N9 ; 4 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[31]~0 ; LABCELL_X60_Y6_N27 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_firq[3]~0 ; LABCELL_X62_Y8_N42 ; 20 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[14]~0 ; LABCELL_X61_Y7_N0 ; 50 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[31]~1 ; MLABCELL_X59_Y9_N57 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtval[16]~3 ; MLABCELL_X59_Y9_N3 ; 31 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[31]~0 ; LABCELL_X62_Y8_N21 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.we ; FF_X64_Y10_N11 ; 113 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~14 ; LABCELL_X55_Y10_N48 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~9 ; MLABCELL_X59_Y9_N54 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~90 ; MLABCELL_X59_Y9_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_cp_trig[0] ; FF_X64_Y10_N17 ; 54 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.bus_mo_we ; FF_X62_Y11_N38 ; 73 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[13] ; FF_X73_Y10_N29 ; 158 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.BRANCH ; FF_X62_Y11_N5 ; 47 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.EXECUTE ; FF_X62_Y11_N35 ; 88 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[22]~0 ; LABCELL_X67_Y12_N24 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; FF_X67_Y12_N8 ; 47 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[17]~1 ; MLABCELL_X65_Y11_N24 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ipb.re[0] ; LABCELL_X67_Y12_N42 ; 46 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ipb.we[1]~0 ; LABCELL_X67_Y12_N15 ; 36 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|rf_we~0 ; LABCELL_X61_Y11_N3 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 ; LABCELL_X64_Y14_N45 ; 2 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 ; LABCELL_X64_Y14_N27 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 ; LABCELL_X64_Y13_N57 ; 2 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 ; LABCELL_X64_Y13_N54 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 ; LABCELL_X64_Y13_N39 ; 2 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 ; MLABCELL_X65_Y14_N48 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 ; LABCELL_X64_Y13_N42 ; 2 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 ; LABCELL_X64_Y13_N45 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1 ; LABCELL_X64_Y16_N42 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0 ; LABCELL_X64_Y16_N3 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 ; LABCELL_X64_Y13_N21 ; 4 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 ; LABCELL_X64_Y13_N3 ; 4 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 ; LABCELL_X64_Y13_N30 ; 4 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 ; LABCELL_X64_Y13_N27 ; 4 ; Read enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21 ; LABCELL_X64_Y13_N18 ; 4 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21 ; LABCELL_X64_Y13_N0 ; 4 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21 ; LABCELL_X64_Y13_N9 ; 4 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21 ; LABCELL_X64_Y13_N12 ; 4 ; Write enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we ; FF_X57_Y14_N44 ; 46 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we ; FF_X60_Y14_N47 ; 47 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0 ; LABCELL_X63_Y14_N45 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0 ; LABCELL_X63_Y14_N42 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|rden ; LABCELL_X63_Y14_N48 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] ; FF_X62_Y15_N14 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] ; FF_X62_Y15_N35 ; 31 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 ; LABCELL_X62_Y15_N39 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1 ; LABCELL_X63_Y13_N12 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0 ; LABCELL_X63_Y13_N21 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst|fifo.we ; LABCELL_X60_Y15_N9 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.we~1 ; LABCELL_X64_Y15_N54 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 ; LABCELL_X60_Y17_N57 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 ; MLABCELL_X59_Y17_N45 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0 ; MLABCELL_X59_Y17_N42 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state ; FF_X60_Y15_N44 ; 21 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0 ; LABCELL_X63_Y16_N51 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1 ; LABCELL_X63_Y16_N42 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 ; MLABCELL_X65_Y15_N6 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT ; FF_X64_Y15_N41 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; neorv32_top:neorv32_top_inst|rstn_int ; FF_X63_Y10_N44 ; 997 ; Async. clear ; no ; -- ; -- ; -- ; -; rstn_i ; PIN_AA15 ; 7 ; Async. clear ; no ; -- ; -- ; -- ; +; clk_i ; PIN_AF14 ; 1747 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; +; neorv32_top:neorv32_top_inst|clk_gen_en_ff ; FF_X47_Y17_N37 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 ; LABCELL_X40_Y15_N24 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|Selector1~0 ; LABCELL_X42_Y14_N51 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|p_bus_addr_o[2]~0 ; LABCELL_X42_Y16_N6 ; 158 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 ; LABCELL_X62_Y18_N36 ; 46 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 ; MLABCELL_X52_Y15_N45 ; 34 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 ; LABCELL_X60_Y17_N36 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ; FF_X52_Y15_N17 ; 44 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE ; FF_X52_Y15_N50 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0 ; LABCELL_X62_Y18_N57 ; 85 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start ; LABCELL_X61_Y17_N15 ; 78 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0 ; LABCELL_X60_Y14_N9 ; 81 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start ; LABCELL_X60_Y15_N6 ; 83 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 ; LABCELL_X67_Y17_N54 ; 49 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux20~0 ; LABCELL_X56_Y15_N9 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux49~0 ; LABCELL_X48_Y18_N54 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Selector78~0 ; LABCELL_X55_Y16_N39 ; 37 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|WideNor0 ; MLABCELL_X52_Y20_N36 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ; FF_X51_Y20_N53 ; 46 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.cycle[1] ; FF_X51_Y20_N35 ; 50 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.instret[0] ; FF_X51_Y20_N50 ; 49 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.instret[1] ; FF_X51_Y20_N32 ; 42 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 ; LABCELL_X48_Y17_N12 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[9]~0 ; LABCELL_X45_Y19_N0 ; 45 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_firq[3]~0 ; MLABCELL_X52_Y20_N12 ; 19 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[7]~0 ; LABCELL_X51_Y20_N9 ; 48 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[31]~1 ; MLABCELL_X52_Y17_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtval[3]~3 ; LABCELL_X45_Y20_N39 ; 31 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[31]~0 ; MLABCELL_X52_Y20_N21 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.we ; FF_X46_Y17_N41 ; 115 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~13 ; MLABCELL_X52_Y17_N39 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~8 ; MLABCELL_X52_Y17_N12 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr~89 ; MLABCELL_X52_Y17_N24 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[33] ; FF_X52_Y16_N47 ; 56 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[39] ; FF_X50_Y19_N50 ; 72 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 ; LABCELL_X48_Y16_N27 ; 43 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[13] ; FF_X61_Y16_N2 ; 154 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.BRANCH ; FF_X50_Y19_N26 ; 47 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.EXECUTE ; FF_X47_Y16_N47 ; 90 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[6]~0 ; LABCELL_X55_Y16_N15 ; 41 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; FF_X43_Y16_N59 ; 55 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[18]~1 ; LABCELL_X50_Y16_N48 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 ; LABCELL_X45_Y16_N12 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 ; LABCELL_X43_Y16_N27 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0 ; LABCELL_X48_Y16_N36 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0 ; LABCELL_X43_Y16_N42 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 ; LABCELL_X45_Y16_N54 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 ; LABCELL_X45_Y16_N48 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0 ; LABCELL_X48_Y16_N3 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0 ; LABCELL_X43_Y16_N45 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|rf_we~0 ; LABCELL_X53_Y15_N48 ; 2 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 ; MLABCELL_X39_Y14_N21 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22 ; MLABCELL_X39_Y14_N27 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 ; LABCELL_X43_Y14_N45 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22 ; LABCELL_X43_Y14_N48 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 ; LABCELL_X43_Y14_N27 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22 ; LABCELL_X43_Y14_N51 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 ; LABCELL_X40_Y15_N36 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22 ; LABCELL_X42_Y16_N48 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 ; LABCELL_X40_Y15_N45 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 ; LABCELL_X40_Y15_N33 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0 ; LABCELL_X40_Y15_N6 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 ; MLABCELL_X39_Y14_N18 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1 ; LABCELL_X43_Y14_N36 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1 ; LABCELL_X43_Y14_N6 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1 ; LABCELL_X43_Y14_N21 ; 8 ; Read enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22 ; MLABCELL_X39_Y14_N12 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22 ; LABCELL_X43_Y14_N54 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22 ; LABCELL_X43_Y14_N57 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22 ; LABCELL_X42_Y15_N54 ; 8 ; Write enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we ; FF_X45_Y16_N23 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we ; FF_X45_Y16_N20 ; 44 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 ; LABCELL_X40_Y15_N42 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 ; LABCELL_X40_Y15_N0 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|rden ; LABCELL_X40_Y15_N9 ; 32 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] ; FF_X46_Y16_N56 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] ; FF_X46_Y16_N50 ; 31 ; Clock enable, Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 ; LABCELL_X46_Y16_N48 ; 21 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1 ; LABCELL_X40_Y13_N9 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0 ; LABCELL_X40_Y13_N0 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst|fifo.we ; LABCELL_X37_Y14_N6 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst|fifo.we~1 ; LABCELL_X37_Y13_N45 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 ; LABCELL_X45_Y11_N57 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 ; LABCELL_X46_Y11_N39 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0 ; LABCELL_X45_Y11_N48 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state ; FF_X37_Y14_N56 ; 21 ; Sync. clear, Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0 ; LABCELL_X42_Y11_N57 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1 ; LABCELL_X42_Y13_N21 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 ; LABCELL_X36_Y13_N39 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT ; FF_X36_Y13_N50 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; +; neorv32_top:neorv32_top_inst|rstn_int ; FF_X51_Y17_N50 ; 1039 ; Async. clear ; no ; -- ; -- ; -- ; +; rstn_i ; PIN_AA15 ; 6 ; Async. clear ; no ; -- ; -- ; -- ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+---------------------------------------+--------+----------------------+------------------+---------------------------+ @@ -1805,7 +1808,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-------+----------+---------+----------------------+------------------+---------------------------+ ; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; +-------+----------+---------+----------------------+------------------+---------------------------+ -; clk_i ; PIN_AF14 ; 1594 ; Global Clock ; GCLK6 ; -- ; +; clk_i ; PIN_AF14 ; 1747 ; Global Clock ; GCLK6 ; -- ; +-------+----------+---------+----------------------+------------------+---------------------------+ @@ -1814,27 +1817,27 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +---------------------------------------+---------+ ; Name ; Fan-Out ; +---------------------------------------+---------+ -; neorv32_top:neorv32_top_inst|rstn_int ; 997 ; +; neorv32_top:neorv32_top_inst|rstn_int ; 1039 ; +---------------------------------------+---------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+--------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLABs ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; ECC Mode ; ECC Pipeline Registers ; Fits in MLABs ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+--------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ -; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1024 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 32768 ; 1024 ; 32 ; -- ; -- ; 32768 ; 4 ; 0 ; bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif ; M10K_X58_Y15_N0, M10K_X58_Y13_N0, M10K_X58_Y16_N0, M10K_X58_Y8_N0 ; Don't care ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; 0 ; None ; M10K_X69_Y11_N0 ; Old data ; New data ; New data ; Off ; No ; No - Unsupported Mixed Feed Through Setting ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; 0 ; None ; M10K_X69_Y10_N0 ; Old data ; New data ; New data ; Off ; No ; No - Unsupported Mixed Feed Through Setting ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; 0 ; None ; M10K_X58_Y14_N0, M10K_X49_Y14_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; 0 ; None ; M10K_X69_Y13_N0, M10K_X69_Y14_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; 0 ; None ; M10K_X58_Y12_N0, M10K_X49_Y12_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 2048 ; 8 ; 2048 ; 8 ; yes ; no ; yes ; no ; 16384 ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; 2 ; 0 ; None ; M10K_X58_Y11_N0, M10K_X58_Y10_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4096 ; 8 ; 4096 ; 8 ; yes ; no ; yes ; no ; 32768 ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; 4 ; 0 ; None ; M10K_X69_Y16_N0, M10K_X58_Y17_N0, M10K_X69_Y17_N0, M10K_X58_Y18_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4096 ; 8 ; 4096 ; 8 ; yes ; no ; yes ; no ; 32768 ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; 4 ; 0 ; None ; M10K_X49_Y15_N0, M10K_X76_Y13_N0, M10K_X76_Y14_N0, M10K_X69_Y15_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4096 ; 8 ; 4096 ; 8 ; yes ; no ; yes ; no ; 32768 ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; 4 ; 0 ; None ; M10K_X49_Y13_N0, M10K_X76_Y12_N0, M10K_X49_Y11_N0, M10K_X69_Y12_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 4096 ; 8 ; 4096 ; 8 ; yes ; no ; yes ; no ; 32768 ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; 4 ; 0 ; None ; M10K_X58_Y9_N0, M10K_X76_Y11_N0, M10K_X69_Y9_N0, M10K_X76_Y10_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+--------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter RAM Summary ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ +; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLABs ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; ECC Mode ; ECC Pipeline Registers ; Fits in MLABs ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ +; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; Single Clock ; 1024 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 32768 ; 1024 ; 32 ; -- ; -- ; 32768 ; 4 ; 0 ; bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif ; M10K_X41_Y14_N0, M10K_X41_Y12_N0, M10K_X41_Y13_N0, M10K_X41_Y10_N0 ; Don't care ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; 0 ; None ; M10K_X58_Y15_N0 ; Old data ; New data ; New data ; Off ; No ; No - Unsupported Mixed Feed Through Setting ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 32 ; 32 ; 32 ; 32 ; yes ; no ; yes ; no ; 1024 ; 32 ; 32 ; 32 ; 32 ; 1024 ; 1 ; 0 ; None ; M10K_X58_Y16_N0 ; Old data ; New data ; New data ; Off ; No ; No - Unsupported Mixed Feed Through Setting ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X26_Y12_N0, M10K_X41_Y8_N0, M10K_X41_Y7_N0, M10K_X38_Y17_N0, M10K_X26_Y16_N0, M10K_X38_Y15_N0, M10K_X38_Y7_N0, M10K_X41_Y17_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X49_Y18_N0, M10K_X26_Y18_N0, M10K_X49_Y14_N0, M10K_X49_Y15_N0, M10K_X38_Y21_N0, M10K_X49_Y21_N0, M10K_X26_Y15_N0, M10K_X38_Y18_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X41_Y15_N0, M10K_X41_Y16_N0, M10K_X49_Y12_N0, M10K_X41_Y9_N0, M10K_X49_Y9_N0, M10K_X49_Y11_N0, M10K_X58_Y10_N0, M10K_X58_Y12_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X41_Y22_N0, M10K_X26_Y17_N0, M10K_X38_Y23_N0, M10K_X41_Y24_N0, M10K_X38_Y24_N0, M10K_X38_Y19_N0, M10K_X41_Y23_N0, M10K_X38_Y20_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X38_Y12_N0, M10K_X38_Y8_N0, M10K_X38_Y10_N0, M10K_X58_Y14_N0, M10K_X26_Y14_N0, M10K_X38_Y13_N0, M10K_X38_Y9_N0, M10K_X58_Y13_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X49_Y22_N0, M10K_X38_Y14_N0, M10K_X49_Y19_N0, M10K_X49_Y17_N0, M10K_X38_Y22_N0, M10K_X41_Y21_N0, M10K_X49_Y13_N0, M10K_X26_Y13_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X38_Y16_N0, M10K_X49_Y16_N0, M10K_X49_Y10_N0, M10K_X41_Y6_N0, M10K_X49_Y8_N0, M10K_X38_Y11_N0, M10K_X49_Y7_N0, M10K_X38_Y6_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Single Clock ; 8192 ; 8 ; 8192 ; 8 ; yes ; no ; yes ; no ; 65536 ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; 8 ; 0 ; None ; M10K_X49_Y20_N0, M10K_X58_Y19_N0, M10K_X58_Y17_N0, M10K_X41_Y18_N0, M10K_X41_Y20_N0, M10K_X41_Y11_N0, M10K_X58_Y18_N0, M10K_X41_Y19_N0 ; Old data ; New data ; New data ; Off ; No ; No - Address Too Wide ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+-------+------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+----------+------------------------+---------------------------------------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -1843,14 +1846,14 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +---------------------------------------------+-------------------------+ ; Routing Resource Type ; Usage ; +---------------------------------------------+-------------------------+ -; Block interconnects ; 5,502 / 289,320 ( 2 % ) ; -; C12 interconnects ; 13 / 13,420 ( < 1 % ) ; -; C2 interconnects ; 1,701 / 119,108 ( 1 % ) ; -; C4 interconnects ; 768 / 56,300 ( 1 % ) ; +; Block interconnects ; 6,584 / 289,320 ( 2 % ) ; +; C12 interconnects ; 63 / 13,420 ( < 1 % ) ; +; C2 interconnects ; 2,195 / 119,108 ( 2 % ) ; +; C4 interconnects ; 1,040 / 56,300 ( 2 % ) ; ; DQS bus muxes ; 0 / 25 ( 0 % ) ; ; DQS-18 I/O buses ; 0 / 25 ( 0 % ) ; ; DQS-9 I/O buses ; 0 / 25 ( 0 % ) ; -; Direct links ; 398 / 289,320 ( < 1 % ) ; +; Direct links ; 394 / 289,320 ( < 1 % ) ; ; Global clocks ; 1 / 16 ( 6 % ) ; ; HPS SDRAM PLL inputs ; 0 / 1 ( 0 % ) ; ; HPS SDRAM PLL outputs ; 0 / 1 ( 0 % ) ; @@ -1906,13 +1909,13 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. ; HPS_INTERFACE_TPIU_TRACE_INPUTs ; 0 / 2 ( 0 % ) ; ; HPS_INTERFACE_TPIU_TRACE_OUTPUTs ; 0 / 33 ( 0 % ) ; ; Horizontal periphery clocks ; 0 / 72 ( 0 % ) ; -; Local interconnects ; 888 / 84,580 ( 1 % ) ; +; Local interconnects ; 1,004 / 84,580 ( 1 % ) ; ; Quadrant clocks ; 0 / 66 ( 0 % ) ; -; R14 interconnects ; 72 / 12,676 ( < 1 % ) ; -; R14/C12 interconnect drivers ; 76 / 20,720 ( < 1 % ) ; -; R3 interconnects ; 2,207 / 130,992 ( 2 % ) ; -; R6 interconnects ; 3,420 / 266,960 ( 1 % ) ; -; Spine clocks ; 2 / 360 ( < 1 % ) ; +; R14 interconnects ; 202 / 12,676 ( 2 % ) ; +; R14/C12 interconnect drivers ; 219 / 20,720 ( 1 % ) ; +; R3 interconnects ; 2,729 / 130,992 ( 2 % ) ; +; R6 interconnects ; 4,344 / 266,960 ( 2 % ) ; +; Spine clocks ; 5 / 360 ( 1 % ) ; ; Wire stub REs ; 0 / 15,858 ( 0 % ) ; +---------------------------------------------+-------------------------+ @@ -2038,118 +2041,118 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +-----------------+----------------------+-------------------+ ; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; +-----------------+----------------------+-------------------+ -; clk_i ; clk_i ; 166.4 ; +; clk_i ; clk_i ; 165.2 ; +-----------------+----------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the Timing Analyzer. -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.IDLE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.556 ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_wr_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.526 ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_rd_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.525 ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[0] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.514 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.branched ; 0.513 ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[1] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.512 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.bus_req ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.509 ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_BUSY ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.507 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ram_block1a21~porta_datain_reg0 ; 0.493 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o ; 0.491 ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_RETIRE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.478 ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_rd_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ; 0.477 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[4] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.468 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[12] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.444 ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[3] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.441 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[30] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[25] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[26] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[20] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[29] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[21] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[31] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[28] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[27] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[22] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[23] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[24] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.sleep ; 0.411 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[4] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; 0.408 ; -; neorv32_top:neorv32_top_inst|rstn_int_sreg[2] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.408 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_opa_mux ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ram_block1a29~porta_datain_reg0 ; 0.394 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; 0.386 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[2] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ram_block1a29~porta_datain_reg0 ; 0.384 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; 0.378 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.370 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[30] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[30] ; 0.364 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.358 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; 0.358 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.IDLE ; 0.357 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ; 0.356 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.355 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[20] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.352 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.352 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.350 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[8] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.347 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.346 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] ; 0.343 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ; 0.342 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_firq[11] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[27] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_firq[10] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[26] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[15] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[15] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[9] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[9] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[10] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[10] ; 0.341 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_mei ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[11] ; 0.340 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[14] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[14] ; 0.340 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[13] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[13] ; 0.340 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mie_firq[2] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[18] ; 0.340 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] ; 0.340 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.EXECUTE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.MEM_REQ ; 0.339 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] ; 0.338 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ; 0.338 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtvec[21] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[21] ; 0.338 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[16] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[15] ; 0.338 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ; 0.337 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] ; 0.337 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ; 0.337 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] ; 0.337 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] ; 0.337 ; -; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ; 0.337 ; -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.604 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.600 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.581 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[29] ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ram_block1a5~porta_datain_reg0 ; 0.553 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.548 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[25] ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ram_block1a1~porta_datain_reg0 ; 0.547 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.496 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.496 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.496 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.496 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[4] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.483 ; +; neorv32_top:neorv32_top_inst|rstn_int_sreg[0] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.472 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.458 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.451 ; +; neorv32_top:neorv32_top_inst|rstn_int_sreg[3] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.434 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.428 ; +; neorv32_top:neorv32_top_inst|rstn_int_sreg[2] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.407 ; +; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.IDLE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_rd_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.busy ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_rd_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|ca_wr_req_buf ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.DISPATCH ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.env_start ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[38] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_BUSY ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|misaligned ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|arbiter.state.B_RETIRE ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ; 0.400 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done ; 0.386 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.376 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[16] ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ram_block1a0~porta_datain_reg0 ; 0.374 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.363 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.363 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.359 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.357 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done ; 0.357 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.356 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[30] ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ram_block1a6~porta_datain_reg0 ; 0.355 ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ; 0.354 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] ; 0.353 ; +; neorv32_top:neorv32_top_inst|rstn_int_sreg[1] ; neorv32_top:neorv32_top_inst|rstn_int ; 0.353 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ; 0.352 ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] ; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[20] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.350 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ; 0.348 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[0] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[0] ; 0.347 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ; 0.346 ; +; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] ; neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] ; 0.345 ; +; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[1] ; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[2] ; 0.343 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.340 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl[27] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 0.339 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] ; 0.339 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ; 0.336 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[20] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[20] ; 0.336 ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] ; 0.336 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[26] ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[26] ; 0.336 ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: This table only shows the top 100 path(s) that have the largest delay added for hold. @@ -2157,17 +2160,16 @@ Note: This table only shows the top 100 path(s) that have the largest delay adde ; Fitter Messages ; +-----------------+ Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected +Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected Info (119006): Selected device 5CSEMA5F31C6 for design "test" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time -Warning (292013): Feature LogicLock is only available with a valid subscription license. You can purchase a software subscription to gain full access to this feature. Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. Info (184020): Starting Fitter periphery placement operations Info (11191): Automatically promoted 1 clock (1 global) - Info (11162): clk_i~inputCLKENA0 with 1507 fanout uses global clock CLKCTRL_G6 + Info (11162): clk_i~inputCLKENA0 with 1617 fanout uses global clock CLKCTRL_G6 Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:00 Info (176233): Starting register packing Critical Warning (332012): Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. @@ -2177,30 +2179,30 @@ Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Tim Info (332130): Timing requirements not specified -- quality metrics such as performance may be sacrificed to reduce compilation time. Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks -Info (11798): Fitter preparation operations ending: elapsed time is 00:00:11 +Info (11798): Fitter preparation operations ending: elapsed time is 00:00:12 Info (170189): Fitter placement preparation operations beginning Info (14951): The Fitter is using Advanced Physical Optimization. -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:12 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:19 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:04 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:08 Info (170193): Fitter routing operations beginning Info (170195): Router estimated average interconnect usage is 1% of the available device resources - Info (170196): Router estimated peak interconnect usage is 17% of the available device resources in the region that extends from location X56_Y0 to location X66_Y10 + Info (170196): Router estimated peak interconnect usage is 27% of the available device resources in the region that extends from location X45_Y11 to location X55_Y22 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped -Info (170194): Fitter routing operations ending: elapsed time is 00:00:06 -Info (11888): Total time spent on timing analysis during the Fitter is 2.96 seconds. +Info (170194): Fitter routing operations ending: elapsed time is 00:00:09 +Info (11888): Total time spent on timing analysis during the Fitter is 4.90 seconds. Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Info (334004): Delay annotation completed successfully -Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:04 +Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:06 Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. -Info: Quartus Prime Fitter was successful. 0 errors, 5 warnings - Info: Peak virtual memory: 2433 megabytes - Info: Processing ended: Wed Mar 8 10:31:00 2023 - Info: Elapsed time: 00:01:00 - Info: Total CPU time (on all processors): 00:02:56 +Info: Quartus Prime Fitter was successful. 0 errors, 4 warnings + Info: Peak virtual memory: 2076 megabytes + Info: Processing ended: Wed Mar 8 15:27:37 2023 + Info: Elapsed time: 00:01:17 + Info: Total CPU time (on all processors): 00:02:59 diff --git a/proj_quartus/output_files/test.fit.summary b/proj_quartus/output_files/test.fit.summary index bb94a1915..fc5f99e2a 100644 --- a/proj_quartus/output_files/test.fit.summary +++ b/proj_quartus/output_files/test.fit.summary @@ -1,16 +1,16 @@ -Fitter Status : Successful - Wed Mar 8 10:30:59 2023 -Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Fitter Status : Successful - Wed Mar 8 15:27:36 2023 +Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Standard Edition Revision Name : test Top-level Entity Name : neorv32_test_setup_bootloader Family : Cyclone V Device : 5CSEMA5F31C6 Timing Models : Final -Logic utilization (in ALMs) : 1,268 / 32,070 ( 4 % ) -Total registers : 1564 +Logic utilization (in ALMs) : 1,415 / 32,070 ( 4 % ) +Total registers : 1677 Total pins : 12 / 457 ( 3 % ) Total virtual pins : 0 -Total block memory bits : 231,424 / 4,065,280 ( 6 % ) -Total RAM Blocks : 30 / 397 ( 8 % ) +Total block memory bits : 559,104 / 4,065,280 ( 14 % ) +Total RAM Blocks : 70 / 397 ( 18 % ) Total DSP Blocks : 0 / 87 ( 0 % ) Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 diff --git a/proj_quartus/output_files/test.flow.rpt b/proj_quartus/output_files/test.flow.rpt index bd2a86d5e..ef7ab789f 100644 --- a/proj_quartus/output_files/test.flow.rpt +++ b/proj_quartus/output_files/test.flow.rpt @@ -1,6 +1,6 @@ Flow report for test -Wed Mar 8 10:31:17 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:27:56 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -38,29 +38,29 @@ https://fpgasoftware.intel.com/eula. -+----------------------------------------------------------------------------------+ -; Flow Summary ; -+---------------------------------+------------------------------------------------+ -; Flow Status ; Successful - Wed Mar 8 10:31:17 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; test ; -; Top-level Entity Name ; neorv32_test_setup_bootloader ; -; Family ; Cyclone V ; -; Device ; 5CSEMA5F31C6 ; -; Timing Models ; Final ; -; Logic utilization (in ALMs) ; 1,268 / 32,070 ( 4 % ) ; -; Total registers ; 1564 ; -; Total pins ; 12 / 457 ( 3 % ) ; -; Total virtual pins ; 0 ; -; Total block memory bits ; 231,424 / 4,065,280 ( 6 % ) ; -; Total DSP Blocks ; 0 / 87 ( 0 % ) ; -; Total HSSI RX PCSs ; 0 ; -; Total HSSI PMA RX Deserializers ; 0 ; -; Total HSSI TX PCSs ; 0 ; -; Total HSSI PMA TX Serializers ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; -; Total DLLs ; 0 / 4 ( 0 % ) ; -+---------------------------------+------------------------------------------------+ ++--------------------------------------------------------------------------------------+ +; Flow Summary ; ++---------------------------------+----------------------------------------------------+ +; Flow Status ; Successful - Wed Mar 8 15:27:56 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Standard Edition ; +; Revision Name ; test ; +; Top-level Entity Name ; neorv32_test_setup_bootloader ; +; Family ; Cyclone V ; +; Device ; 5CSEMA5F31C6 ; +; Timing Models ; Final ; +; Logic utilization (in ALMs) ; 1,415 / 32,070 ( 4 % ) ; +; Total registers ; 1677 ; +; Total pins ; 12 / 457 ( 3 % ) ; +; Total virtual pins ; 0 ; +; Total block memory bits ; 559,104 / 4,065,280 ( 14 % ) ; +; Total DSP Blocks ; 0 / 87 ( 0 % ) ; +; Total HSSI RX PCSs ; 0 ; +; Total HSSI PMA RX Deserializers ; 0 ; +; Total HSSI TX PCSs ; 0 ; +; Total HSSI PMA TX Serializers ; 0 ; +; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total DLLs ; 0 / 4 ( 0 % ) ; ++---------------------------------+----------------------------------------------------+ +-----------------------------------------+ @@ -68,7 +68,7 @@ https://fpgasoftware.intel.com/eula. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 03/08/2023 10:29:44 ; +; Start date & time ; 03/08/2023 15:26:02 ; ; Main task ; Compilation ; ; Revision Name ; test ; +-------------------+---------------------+ @@ -79,7 +79,7 @@ https://fpgasoftware.intel.com/eula. +-------------------------------------+----------------------------------------+---------------+-------------------------------+-----------------------------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+----------------------------------------+---------------+-------------------------------+-----------------------------------+ -; COMPILER_SIGNATURE_ID ; 26403106211578.167826778417136 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 92407695964.167828556222706 ; -- ; -- ; -- ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_timing ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_boundary_scan ; ; EDA_GENERATE_FUNCTIONAL_NETLIST ; Off ; -- ; -- ; eda_board_design_signal_integrity ; @@ -107,26 +107,26 @@ https://fpgasoftware.intel.com/eula. +----------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:13 ; 1.0 ; 627 MB ; 00:00:19 ; -; Fitter ; 00:00:59 ; 1.3 ; 2433 MB ; 00:02:55 ; -; Assembler ; 00:00:05 ; 1.0 ; 543 MB ; 00:00:05 ; -; Timing Analyzer ; 00:00:06 ; 2.9 ; 997 MB ; 00:00:14 ; -; EDA Netlist Writer ; 00:00:01 ; 1.0 ; 713 MB ; 00:00:01 ; -; Total ; 00:01:24 ; -- ; -- ; 00:03:34 ; +; Analysis & Synthesis ; 00:00:18 ; 1.0 ; 588 MB ; 00:00:25 ; +; Fitter ; 00:01:16 ; 1.2 ; 2076 MB ; 00:02:57 ; +; Assembler ; 00:00:06 ; 1.0 ; 559 MB ; 00:00:06 ; +; Timing Analyzer ; 00:00:08 ; 2.3 ; 958 MB ; 00:00:16 ; +; EDA Netlist Writer ; 00:00:02 ; 1.0 ; 729 MB ; 00:00:01 ; +; Total ; 00:01:50 ; -- ; -- ; 00:03:45 ; +----------------------+--------------+-------------------------+---------------------+------------------------------------+ -+--------------------------------------------------------------------------------------------------+ -; Flow OS Summary ; -+----------------------+----------------------------+----------------+------------+----------------+ -; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; -+----------------------+----------------------------+----------------+------------+----------------+ -; Analysis & Synthesis ; stepan-System-Product-Name ; Ubuntu 20.04.5 ; 20 ; x86_64 ; -; Fitter ; stepan-System-Product-Name ; Ubuntu 20.04.5 ; 20 ; x86_64 ; -; Assembler ; stepan-System-Product-Name ; Ubuntu 20.04.5 ; 20 ; x86_64 ; -; Timing Analyzer ; stepan-System-Product-Name ; Ubuntu 20.04.5 ; 20 ; x86_64 ; -; EDA Netlist Writer ; stepan-System-Product-Name ; Ubuntu 20.04.5 ; 20 ; x86_64 ; -+----------------------+----------------------------+----------------+------------+----------------+ ++----------------------------------------------------------------------------------------+ +; Flow OS Summary ; ++----------------------+------------------+----------------+------------+----------------+ +; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; ++----------------------+------------------+----------------+------------+----------------+ +; Analysis & Synthesis ; seti-VirtualBox ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Fitter ; seti-VirtualBox ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Assembler ; seti-VirtualBox ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; Timing Analyzer ; seti-VirtualBox ; Ubuntu 22.04.1 ; 22 ; x86_64 ; +; EDA Netlist Writer ; seti-VirtualBox ; Ubuntu 22.04.1 ; 22 ; x86_64 ; ++----------------------+------------------+----------------+------------+----------------+ ------------ diff --git a/proj_quartus/output_files/test.jdi b/proj_quartus/output_files/test.jdi index e1aa3053c..ae64f1c3c 100644 --- a/proj_quartus/output_files/test.jdi +++ b/proj_quartus/output_files/test.jdi @@ -1,6 +1,6 @@ - + diff --git a/proj_quartus/output_files/test.map.rpt b/proj_quartus/output_files/test.map.rpt index 4457f37d8..15b604451 100644 --- a/proj_quartus/output_files/test.map.rpt +++ b/proj_quartus/output_files/test.map.rpt @@ -1,6 +1,6 @@ Analysis & Synthesis report for test -Wed Mar 8 10:29:58 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:26:19 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -31,14 +31,14 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition 23. Multiplexer Restructuring Statistics (Restructuring Performed) 24. Source assignments for neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated 25. Source assignments for neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated - 26. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated - 27. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated - 28. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated - 29. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated - 30. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated - 31. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated - 32. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated - 33. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated + 26. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated + 27. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated + 28. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated + 29. Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated + 30. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated + 31. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated + 32. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated + 33. Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated 34. Source assignments for neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated 35. Parameter Settings for User Entity Instance: Top-level Entity: |neorv32_test_setup_bootloader 36. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst @@ -46,18 +46,18 @@ Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition 38. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst 39. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst 40. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst - 41. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst - 42. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 - 43. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 - 44. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst - 45. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst - 46. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst - 47. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst - 48. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst - 49. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst - 50. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst - 51. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst - 52. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst + 41. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst + 42. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst + 43. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 + 44. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 + 45. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst + 46. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst + 47. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst + 48. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst + 49. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst + 50. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst + 51. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst + 52. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst 53. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst 54. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst 55. Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst @@ -105,27 +105,27 @@ https://fpgasoftware.intel.com/eula. -+----------------------------------------------------------------------------------+ -; Analysis & Synthesis Summary ; -+---------------------------------+------------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Wed Mar 8 10:29:58 2023 ; -; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Revision Name ; test ; -; Top-level Entity Name ; neorv32_test_setup_bootloader ; -; Family ; Cyclone V ; -; Logic utilization (in ALMs) ; N/A ; -; Total registers ; 1347 ; -; Total pins ; 12 ; -; Total virtual pins ; 0 ; -; Total block memory bits ; 231,424 ; -; Total DSP Blocks ; 0 ; -; Total HSSI RX PCSs ; 0 ; -; Total HSSI PMA RX Deserializers ; 0 ; -; Total HSSI TX PCSs ; 0 ; -; Total HSSI PMA TX Serializers ; 0 ; -; Total PLLs ; 0 ; -; Total DLLs ; 0 ; -+---------------------------------+------------------------------------------------+ ++--------------------------------------------------------------------------------------+ +; Analysis & Synthesis Summary ; ++---------------------------------+----------------------------------------------------+ +; Analysis & Synthesis Status ; Successful - Wed Mar 8 15:26:19 2023 ; +; Quartus Prime Version ; 22.1std.0 Build 915 10/25/2022 SC Standard Edition ; +; Revision Name ; test ; +; Top-level Entity Name ; neorv32_test_setup_bootloader ; +; Family ; Cyclone V ; +; Logic utilization (in ALMs) ; N/A ; +; Total registers ; 1457 ; +; Total pins ; 12 ; +; Total virtual pins ; 0 ; +; Total block memory bits ; 559,104 ; +; Total DSP Blocks ; 0 ; +; Total HSSI RX PCSs ; 0 ; +; Total HSSI PMA RX Deserializers ; 0 ; +; Total HSSI TX PCSs ; 0 ; +; Total HSSI PMA TX Serializers ; 0 ; +; Total PLLs ; 0 ; +; Total DLLs ; 0 ; ++---------------------------------+----------------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------+ @@ -220,71 +220,65 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 12 ; +; Number detected on machine ; 6 ; +; Maximum allowed ; 6 ; ; ; ; ; Average used ; 1.00 ; -; Maximum used ; 12 ; +; Maximum used ; 6 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 0.1% ; +; Processor 2 ; 0.0% ; ; Processor 3 ; 0.0% ; ; Processor 4 ; 0.0% ; ; Processor 5 ; 0.0% ; ; Processor 6 ; 0.0% ; -; Processor 7 ; 0.0% ; -; Processor 8 ; 0.0% ; -; Processor 9 ; 0.0% ; -; Processor 10 ; 0.0% ; -; Processor 11 ; 0.0% ; -; Processor 12 ; 0.0% ; +----------------------------+-------------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Source Files Read ; -+--------------------------------------------------------------+-----------------+------------------------------+------------------------------------------------------------------------------------------------+---------+ -; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; -+--------------------------------------------------------------+-----------------+------------------------------+------------------------------------------------------------------------------------------------+---------+ -; ../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd ; ; -; ../neorv32/rtl/core/mem/neorv32_imem.default.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd ; neorv32 ; -; ../neorv32/rtl/core/mem/neorv32_dmem.default.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_uart.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_top.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_sysinfo.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_package.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_mtime.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_imem.entity.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_gpio.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_fifo.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_dmem.entity.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_regfile.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_control.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_bus.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu_alu.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_cpu.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_busswitch.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_bus_keeper.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_bootloader_image.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_boot_rom.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd ; neorv32 ; -; ../neorv32/rtl/core/neorv32_application_image.vhd ; yes ; User VHDL File ; /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd ; neorv32 ; -; altsyncram.tdf ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altsyncram.tdf ; ; -; stratix_ram_block.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; -; lpm_mux.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/lpm_mux.inc ; ; -; lpm_decode.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/lpm_decode.inc ; ; -; aglobal221.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/aglobal221.inc ; ; -; a_rdenreg.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/a_rdenreg.inc ; ; -; altrom.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altrom.inc ; ; -; altram.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altram.inc ; ; -; altdpram.inc ; yes ; Megafunction ; /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altdpram.inc ; ; -; db/altsyncram_u2n1.tdf ; yes ; Auto-Generated Megafunction ; /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_u2n1.tdf ; ; -; db/altsyncram_c6q1.tdf ; yes ; Auto-Generated Megafunction ; /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_c6q1.tdf ; ; -; db/altsyncram_o6q1.tdf ; yes ; Auto-Generated Megafunction ; /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_o6q1.tdf ; ; -; db/altsyncram_bg91.tdf ; yes ; Auto-Generated Megafunction ; /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_bg91.tdf ; ; -+--------------------------------------------------------------+-----------------+------------------------------+------------------------------------------------------------------------------------------------+---------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Source Files Read ; ++--------------------------------------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------------------------------+---------+ +; File Name with User-Entered Path ; Used in Netlist ; File Type ; File Name with Absolute Path ; Library ; ++--------------------------------------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------------------------------+---------+ +; ../neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd ; ; +; ../neorv32/rtl/core/mem/neorv32_imem.default.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd ; neorv32 ; +; ../neorv32/rtl/core/mem/neorv32_dmem.default.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_uart.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_top.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_sysinfo.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_package.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_mtime.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_imem.entity.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_gpio.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_fifo.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_dmem.entity.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_regfile.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_decompressor.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_control.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_bus.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu_alu.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_cpu.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_busswitch.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_bus_keeper.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_bootloader_image.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_boot_rom.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd ; neorv32 ; +; ../neorv32/rtl/core/neorv32_application_image.vhd ; yes ; User VHDL File ; /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd ; neorv32 ; +; altsyncram.tdf ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altsyncram.tdf ; ; +; stratix_ram_block.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/stratix_ram_block.inc ; ; +; lpm_mux.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/lpm_mux.inc ; ; +; lpm_decode.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/lpm_decode.inc ; ; +; aglobal221.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/aglobal221.inc ; ; +; a_rdenreg.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/a_rdenreg.inc ; ; +; altrom.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altrom.inc ; ; +; altram.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altram.inc ; ; +; altdpram.inc ; yes ; Megafunction ; /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altdpram.inc ; ; +; db/altsyncram_u2n1.tdf ; yes ; Auto-Generated Megafunction ; /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_u2n1.tdf ; ; +; db/altsyncram_s6q1.tdf ; yes ; Auto-Generated Megafunction ; /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_s6q1.tdf ; ; +; db/altsyncram_bg91.tdf ; yes ; Auto-Generated Megafunction ; /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_bg91.tdf ; ; ++--------------------------------------------------------------+-----------------+------------------------------+-------------------------------------------------------------------------------------------------+---------+ +-----------------------------------------------------------+ @@ -292,80 +286,81 @@ https://fpgasoftware.intel.com/eula. +---------------------------------------------+-------------+ ; Resource ; Usage ; +---------------------------------------------+-------------+ -; Estimate of Logic utilization (ALMs needed) ; 1245 ; +; Estimate of Logic utilization (ALMs needed) ; 1379 ; ; ; ; -; Combinational ALUT usage for logic ; 1955 ; -; -- 7 input functions ; 28 ; -; -- 6 input functions ; 360 ; -; -- 5 input functions ; 463 ; -; -- 4 input functions ; 305 ; -; -- <=3 input functions ; 799 ; +; Combinational ALUT usage for logic ; 2191 ; +; -- 7 input functions ; 33 ; +; -- 6 input functions ; 387 ; +; -- 5 input functions ; 527 ; +; -- 4 input functions ; 400 ; +; -- <=3 input functions ; 844 ; ; ; ; -; Dedicated logic registers ; 1347 ; +; Dedicated logic registers ; 1457 ; ; ; ; ; I/O pins ; 12 ; ; Total MLAB memory bits ; 0 ; -; Total block memory bits ; 231424 ; +; Total block memory bits ; 559104 ; ; ; ; ; Total DSP Blocks ; 0 ; ; ; ; ; Maximum fan-out node ; clk_i~input ; -; Maximum fan-out ; 1507 ; -; Total fan-out ; 15501 ; -; Average fan-out ; 4.45 ; +; Maximum fan-out ; 1617 ; +; Total fan-out ; 16989 ; +; Average fan-out ; 4.43 ; +---------------------------------------------+-------------+ -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis Resource Utilization by Entity ; -+-------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ -; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; -+-------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ -; |neorv32_test_setup_bootloader ; 1955 (1) ; 1347 (0) ; 231424 ; 0 ; 12 ; 0 ; |neorv32_test_setup_bootloader ; neorv32_test_setup_bootloader ; work ; -; |neorv32_top:neorv32_top_inst| ; 1954 (104) ; 1347 (26) ; 231424 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst ; neorv32_top ; neorv32 ; -; |neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst| ; 4 (4) ; 2 (2) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; neorv32_boot_rom ; neorv32 ; -; |altsyncram:Mux26_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0 ; altsyncram ; work ; -; |altsyncram_bg91:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated ; altsyncram_bg91 ; work ; -; |neorv32_bus_keeper:neorv32_bus_keeper_inst| ; 17 (17) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst ; neorv32_bus_keeper ; neorv32 ; -; |neorv32_busswitch:neorv32_busswitch_inst| ; 54 (54) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst ; neorv32_busswitch ; neorv32 ; -; |neorv32_cpu:neorv32_cpu_inst| ; 1459 (0) ; 961 (0) ; 2048 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst ; neorv32_cpu ; neorv32 ; -; |neorv32_cpu_alu:neorv32_cpu_alu_inst| ; 469 (110) ; 223 (11) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst ; neorv32_cpu_alu ; neorv32 ; -; |neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst| ; 314 (314) ; 173 (173) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; neorv32_cpu_cp_muldiv ; neorv32 ; -; |neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst| ; 45 (45) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst ; neorv32_cpu_cp_shifter ; neorv32 ; -; |neorv32_cpu_bus:neorv32_cpu_bus_inst| ; 40 (40) ; 103 (103) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst ; neorv32_cpu_bus ; neorv32 ; -; |neorv32_cpu_control:neorv32_cpu_control_inst| ; 775 (773) ; 635 (599) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst ; neorv32_cpu_control ; neorv32 ; -; |neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst| ; 1 (1) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst| ; 1 (1) ; 18 (18) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_cpu_regfile:neorv32_cpu_regfile_inst| ; 175 (175) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst ; neorv32_cpu_regfile ; neorv32 ; -; |altsyncram:reg_file[0][31]__1| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 ; altsyncram ; work ; -; |altsyncram_u2n1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; -; |altsyncram:reg_file[0][31]__2| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 ; altsyncram ; work ; -; |altsyncram_u2n1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; -; |neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst| ; 15 (15) ; 2 (2) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; neorv32_dmem ; neorv32 ; -; |altsyncram:mem_ram_b0_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b1_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b2_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |altsyncram:mem_ram_b3_rtl_0| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; -; |altsyncram_c6q1:auto_generated| ; 0 (0) ; 0 (0) ; 16384 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated ; altsyncram_c6q1 ; work ; -; |neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst| ; 5 (5) ; 17 (17) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst ; neorv32_gpio ; neorv32 ; -; |neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst| ; 12 (12) ; 2 (2) ; 131072 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; neorv32_imem ; neorv32 ; -; |altsyncram:mem_ram_b0_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b1_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b2_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |altsyncram:mem_ram_b3_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; -; |altsyncram_o6q1:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated ; altsyncram_o6q1 ; work ; -; |neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst| ; 172 (172) ; 166 (166) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst ; neorv32_mtime ; neorv32 ; -; |neorv32_sysinfo:neorv32_sysinfo_inst| ; 8 (8) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst ; neorv32_sysinfo ; neorv32 ; -; |neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst| ; 104 (91) ; 143 (121) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst ; neorv32_uart ; neorv32 ; -; |neorv32_fifo:rx_engine_fifo_inst| ; 7 (7) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; -; |neorv32_fifo:tx_engine_fifo_inst| ; 6 (6) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; -+-------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Utilization by Entity ; ++----------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ +; Compilation Hierarchy Node ; Combinational ALUTs ; Dedicated Logic Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Entity Name ; Library Name ; ++----------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ +; |neorv32_test_setup_bootloader ; 2191 (1) ; 1457 (0) ; 559104 ; 0 ; 12 ; 0 ; |neorv32_test_setup_bootloader ; neorv32_test_setup_bootloader ; work ; +; |neorv32_top:neorv32_top_inst| ; 2190 (122) ; 1457 (26) ; 559104 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst ; neorv32_top ; neorv32 ; +; |neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst| ; 5 (5) ; 2 (2) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst ; neorv32_boot_rom ; neorv32 ; +; |altsyncram:Mux26_rtl_0| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0 ; altsyncram ; work ; +; |altsyncram_bg91:auto_generated| ; 0 (0) ; 0 (0) ; 32768 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated ; altsyncram_bg91 ; work ; +; |neorv32_bus_keeper:neorv32_bus_keeper_inst| ; 17 (17) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst ; neorv32_bus_keeper ; neorv32 ; +; |neorv32_busswitch:neorv32_busswitch_inst| ; 71 (71) ; 8 (8) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst ; neorv32_busswitch ; neorv32 ; +; |neorv32_cpu:neorv32_cpu_inst| ; 1632 (0) ; 991 (0) ; 2048 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst ; neorv32_cpu ; neorv32 ; +; |neorv32_cpu_alu:neorv32_cpu_alu_inst| ; 454 (95) ; 212 (0) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst ; neorv32_cpu_alu ; neorv32 ; +; |neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst| ; 314 (314) ; 173 (173) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst ; neorv32_cpu_cp_muldiv ; neorv32 ; +; |neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst| ; 45 (45) ; 39 (39) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst ; neorv32_cpu_cp_shifter ; neorv32 ; +; |neorv32_cpu_bus:neorv32_cpu_bus_inst| ; 39 (39) ; 103 (103) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst ; neorv32_cpu_bus ; neorv32 ; +; |neorv32_cpu_control:neorv32_cpu_control_inst| ; 964 (904) ; 676 (600) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst ; neorv32_cpu_control ; neorv32 ; +; |neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst| ; 41 (41) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst ; neorv32_cpu_decompressor ; neorv32 ; +; |neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst| ; 9 (9) ; 38 (38) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst| ; 10 (10) ; 38 (38) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_cpu_regfile:neorv32_cpu_regfile_inst| ; 175 (175) ; 0 (0) ; 2048 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst ; neorv32_cpu_regfile ; neorv32 ; +; |altsyncram:reg_file[0][31]__1| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1 ; altsyncram ; work ; +; |altsyncram_u2n1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; +; |altsyncram:reg_file[0][31]__2| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2 ; altsyncram ; work ; +; |altsyncram_u2n1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated ; altsyncram_u2n1 ; work ; +; |neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst| ; 16 (16) ; 2 (2) ; 262144 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst ; neorv32_dmem ; neorv32 ; +; |altsyncram:mem_ram_b0_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b1_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b2_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b3_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst| ; 38 (38) ; 98 (98) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst ; neorv32_gpio ; neorv32 ; +; |neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst| ; 14 (14) ; 2 (2) ; 262144 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst ; neorv32_imem ; neorv32 ; +; |altsyncram:mem_ram_b0_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b1_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b2_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |altsyncram:mem_ram_b3_rtl_0| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0 ; altsyncram ; work ; +; |altsyncram_s6q1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; altsyncram_s6q1 ; work ; +; |neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst| ; 165 (165) ; 166 (166) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst ; neorv32_mtime ; neorv32 ; +; |neorv32_sysinfo:neorv32_sysinfo_inst| ; 7 (7) ; 7 (7) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst ; neorv32_sysinfo ; neorv32 ; +; |neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst| ; 103 (91) ; 143 (121) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst ; neorv32_uart ; neorv32 ; +; |neorv32_fifo:rx_engine_fifo_inst| ; 6 (6) ; 12 (12) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; +; |neorv32_fifo:tx_engine_fifo_inst| ; 6 (6) ; 10 (10) ; 0 ; 0 ; 0 ; 0 ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst ; neorv32_fifo ; neorv32 ; ++----------------------------------------------------------------------------------------------------------+---------------------+---------------------------+-------------------+------------+------+--------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------+--------------+ Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. @@ -377,14 +372,14 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM ; AUTO ; ROM ; 1024 ; 32 ; -- ; -- ; 32768 ; bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 32 ; 32 ; 32 ; 1024 ; None ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 32 ; 32 ; 32 ; 32 ; 1024 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 2048 ; 8 ; 2048 ; 8 ; 16384 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; None ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4096 ; 8 ; 4096 ; 8 ; 32768 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8192 ; 8 ; 8192 ; 8 ; 65536 ; None ; +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+------------------------------------------------------+ @@ -526,77 +521,139 @@ Encoding Type: One-Hot +-------------------------------+----------------------------+-------------------------------+-------------------------------+---------------------------------------------+ -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Registers Removed During Synthesis ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Register name ; Reason for Removal ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[1,4..6,8..11,24,26..30] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[0] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[19] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8..31] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|data_o[1..30] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_wr_req_buf ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|arbiter.pmp_r_err ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|arbiter.pmp_w_err ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[0] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|ctrl.alu_cp_trig[2..5] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[9,10] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[0,2] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[19,20] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mprv ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_tw ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.dcsr_step ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[5] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[1] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[27] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.ignore ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[7,12,15,19..23] ; Merged with neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[25] ; -; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[0,2,3,16] ; Merged with neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[18] ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[0] ; Merged with neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[2] ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] ; Merged with neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] ; Merged with neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[15] ; Merged with neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[18] ; Merged with neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mip_firq_nclr[0,1,4..15] ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[3,4,7..18] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[2..4,7..18] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.privilege ; Stuck at VCC due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din[0..7] ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_OFFLINE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_ONLINE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_LEAVING ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.BRANCHED ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.BRANCHED ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.DISPATCH ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.DISPATCH ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_ENTER ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_ENTER ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_EXIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_EXIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_EXECUTE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_EXECUTE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.EXECUTE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.EXECUTE ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.ALU_WAIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.ALU_WAIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.BRANCH ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.BRANCH ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.SYSTEM ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.SYSTEM ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_REQ ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_REQ ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_WAIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_WAIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_RESTART ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_REQUEST ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_PENDING ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_WAIT ; Lost fanout ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pmp_err ; Stuck at GND due to stuck port data_in ; -; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[10] ; Stuck at VCC due to stuck port data_in ; -; Total Number of Removed Registers = 192 ; ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Registers Removed During Synthesis ; ++------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; Register name ; Reason for Removal ; ++------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+ +; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[1,4..6,8..11,24,26..30] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[0] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[19] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|err_o ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|data_o[1..30] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|cb_wr_req_buf ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|arbiter.pmp_r_err ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|arbiter.pmp_w_err ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[0] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[10,11] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[0,2] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[19,20] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_mprv ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mstatus_tw ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.dcsr_step ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[5] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[1] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[27] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.ignore ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[7,12..14,19..23] ; Merged with neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[25] ; +; neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[0,2,3,16] ; Merged with neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst|data_o[18] ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[0] ; Merged with neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[2] ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[18] ; Merged with neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[15] ; Merged with neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mip_firq_nclr[0,1,4..15] ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[2] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[3,4,7..18] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[2..4,7..18] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.privilege ; Stuck at VCC due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[4] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[1] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[1] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[0] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[0] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[17] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[17] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[16] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[16] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[13] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[13] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[29] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[29] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[9] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[9] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[25] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[25] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[10] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[10] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[26] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[26] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[8] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[8] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[24] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[24] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[7] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[7] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[23] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[23] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[11] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[11] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[27] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[27] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[15] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[15] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[31] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[31] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[14] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[14] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[30] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[30] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[3] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[3] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[19] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[19] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[4] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[4] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[20] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[20] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[5] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[5] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[21] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[21] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[6] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[6] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[22] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[22] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[2] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[2] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[18] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[18] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[12] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[12] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_lo[28] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|din_hi[28] ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[1] ; Merged with neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[0] ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_OFFLINE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_ONLINE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|debug_ctrl.state.DEBUG_LEAVING ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.BRANCHED ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.BRANCHED ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.DISPATCH ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.DISPATCH ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_ENTER ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_ENTER ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_EXIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_EXIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_EXECUTE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_EXECUTE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.EXECUTE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.EXECUTE ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.ALU_WAIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.ALU_WAIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.BRANCH ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.BRANCH ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.SYSTEM ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.SYSTEM ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_REQ ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_REQ ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_WAIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_WAIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_RESTART ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_REQUEST ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_PENDING ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.state_prev.IF_WAIT ; Lost fanout ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pmp_err ; Stuck at GND due to stuck port data_in ; +; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[10] ; Stuck at VCC due to stuck port data_in ; +; Total Number of Removed Registers = 222 ; ; ++------------------------------------------------------------------------------------------------------------------------------------------------+--------------------------------------------------------------------------------------------------------------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -607,7 +664,7 @@ Encoding Type: One-Hot ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[0] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|uart_cts_ff[1], ; ; ; due to stuck port data_in ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[27], ; ; ; ; neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[10] ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[7] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[7] ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[4] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[4] ; ; ; due to stuck port data_in ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_WAIT ; Lost Fanouts ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_WAIT ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.MEM_REQ ; Lost Fanouts ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.MEM_REQ ; @@ -620,9 +677,11 @@ Encoding Type: One-Hot ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.TRAP_ENTER ; Lost Fanouts ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.TRAP_ENTER ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.DISPATCH ; Lost Fanouts ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.DISPATCH ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev2.BRANCHED ; Lost Fanouts ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.state_prev.BRANCHED ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.privilege ; Stuck at VCC ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.exc_buf[4] ; +; ; due to stuck port data_in ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[3] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[3] ; ; ; due to stuck port data_in ; ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[4] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[4] ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[7] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[7] ; ; ; due to stuck port data_in ; ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_pnd[8] ; Stuck at GND ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.irq_buf[8] ; ; ; due to stuck port data_in ; ; @@ -656,12 +715,12 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 1347 ; -; Number of registers using Synchronous Clear ; 198 ; -; Number of registers using Synchronous Load ; 519 ; -; Number of registers using Asynchronous Clear ; 834 ; +; Total registers ; 1457 ; +; Number of registers using Synchronous Clear ; 214 ; +; Number of registers using Synchronous Load ; 511 ; +; Number of registers using Asynchronous Clear ; 885 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 865 ; +; Number of registers using Clock Enable ; 962 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ @@ -671,23 +730,23 @@ Encoding Type: One-Hot +--------------------------------------------------------------------------------------------------------------------------------+---------+ ; Inverted Register ; Fan out ; +--------------------------------------------------------------------------------------------------------------------------------+---------+ -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.restart ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.restart ; 18 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[24] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[27] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[26] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[25] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[30] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[29] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[28] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[23] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[22] ; 6 ; +; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 7 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[21] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[20] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[19] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[18] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[28] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[27] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[17] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[26] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[29] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[30] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[31] ; 7 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[24] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[16] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[25] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[23] ; 6 ; -; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.pc[22] ; 6 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.branched ; 2 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[23] ; 1 ; ; neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mscratch[24] ; 1 ; @@ -724,52 +783,58 @@ Encoding Type: One-Hot +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; 4:1 ; 9 bits ; 18 LEs ; 18 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[25] ; +; 4:1 ; 9 bits ; 18 LEs ; 18 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_wdata_o[29] ; ; 4:1 ; 4 bits ; 8 LEs ; 8 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|d_bus_ben_o[0] ; -; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[3] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] ; +; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] ; +; 3:1 ; 3 bits ; 6 LEs ; 3 LEs ; 3 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst|control.timeout[1] ; ; 3:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.cnt[0] ; -; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcause[5] ; -; 3:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[14] ; +; 3:1 ; 6 bits ; 12 LEs ; 6 LEs ; 6 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcause[0] ; +; 3:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.minstret[7] ; ; 3:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] ; -; 3:1 ; 31 bits ; 62 LEs ; 0 LEs ; 62 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] ; -; 3:1 ; 16 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] ; -; 3:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[31] ; -; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] ; -; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] ; -; 5:1 ; 32 bits ; 96 LEs ; 64 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] ; +; 3:1 ; 31 bits ; 62 LEs ; 0 LEs ; 62 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] ; +; 3:1 ; 16 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] ; +; 3:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mcycle[9] ; +; 3:1 ; 4 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] ; +; 5:1 ; 32 bits ; 96 LEs ; 64 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] ; +; 5:1 ; 32 bits ; 96 LEs ; 64 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] ; ; 4:1 ; 32 bits ; 64 LEs ; 32 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ; +; 4:1 ; 9 bits ; 18 LEs ; 0 LEs ; 18 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] ; ; 4:1 ; 7 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|rdata_o[13] ; -; 4:1 ; 9 bits ; 18 LEs ; 0 LEs ; 18 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] ; -; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[29] ; +; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mepc[31] ; ; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ; -; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mip_firq_nclr[2] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mip_firq_nclr[3] ; ; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|cnt_csr_we.instret[1] ; -; 4:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] ; -; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[14] ; -; 4:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] ; -; 4:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] ; -; 4:1 ; 30 bits ; 60 LEs ; 0 LEs ; 60 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[22] ; +; 4:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[0] ; +; 4:1 ; 31 bits ; 62 LEs ; 62 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst|shifter.sreg[7] ; +; 4:1 ; 32 bits ; 64 LEs ; 0 LEs ; 64 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] ; +; 4:1 ; 11 bits ; 22 LEs ; 22 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] ; +; 4:1 ; 30 bits ; 60 LEs ; 0 LEs ; 60 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|fetch_engine.pc[6] ; ; 4:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] ; -; 4:1 ; 9 bits ; 18 LEs ; 0 LEs ; 18 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6] ; -; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[22] ; -; 32:1 ; 4 bits ; 84 LEs ; 8 LEs ; 76 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[4] ; -; 8:1 ; 7 bits ; 35 LEs ; 14 LEs ; 21 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|rdata_o[2] ; -; 5:1 ; 12 bits ; 36 LEs ; 0 LEs ; 36 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] ; -; 7:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[17] ; -; 34:1 ; 31 bits ; 682 LEs ; 62 LEs ; 620 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtval[16] ; -; 9:1 ; 7 bits ; 42 LEs ; 42 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[14] ; +; 4:1 ; 9 bits ; 18 LEs ; 0 LEs ; 18 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7] ; +; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.next_pc[2] ; +; 32:1 ; 4 bits ; 84 LEs ; 8 LEs ; 76 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[1] ; +; 8:1 ; 7 bits ; 35 LEs ; 14 LEs ; 21 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst|rdata_o[6] ; +; 5:1 ; 12 bits ; 36 LEs ; 0 LEs ; 36 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] ; +; 7:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|imm_o[18] ; +; 34:1 ; 31 bits ; 682 LEs ; 62 LEs ; 620 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.mtval[3] ; +; 9:1 ; 2 bits ; 12 LEs ; 12 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[1] ; +; 9:1 ; 7 bits ; 42 LEs ; 42 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[6] ; ; 11:1 ; 2 bits ; 14 LEs ; 14 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.cause[4] ; -; 11:1 ; 9 bits ; 63 LEs ; 63 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[28] ; +; 11:1 ; 9 bits ; 63 LEs ; 63 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[29] ; ; 12:1 ; 2 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|csr.rdata[30] ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.instr_be ; -; 4:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux75 ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.env_call ; +; 15:1 ; 2 bits ; 20 LEs ; 4 LEs ; 16 LEs ; Yes ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|execute_engine.i_reg[11] ; +; 4:1 ; 32 bits ; 64 LEs ; 64 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Mux78 ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|trap_ctrl.break_point ; ; 3:1 ; 5 bits ; 10 LEs ; 10 LEs ; 0 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|opa_addr[4] ; -; 11:1 ; 31 bits ; 217 LEs ; 186 LEs ; 31 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|Mux0 ; -; 7:1 ; 32 bits ; 128 LEs ; 96 LEs ; 32 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6] ; +; 11:1 ; 31 bits ; 217 LEs ; 186 LEs ; 31 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|Mux10 ; +; 7:1 ; 32 bits ; 128 LEs ; 96 LEs ; 32 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11] ; ; 8:1 ; 2 bits ; 10 LEs ; 8 LEs ; 2 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine ; ; 9:1 ; 2 bits ; 12 LEs ; 8 LEs ; 4 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|Selector3 ; -; 10:1 ; 2 bits ; 12 LEs ; 10 LEs ; 2 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|Selector2 ; +; 10:1 ; 2 bits ; 12 LEs ; 10 LEs ; 2 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst|Selector0 ; ; 10:1 ; 2 bits ; 12 LEs ; 6 LEs ; 6 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Selector69 ; ; 11:1 ; 3 bits ; 21 LEs ; 9 LEs ; 12 LEs ; No ; |neorv32_test_setup_bootloader|neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|Selector76 ; +--------------------+-----------+---------------+----------------------+------------------------+------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -794,7 +859,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -803,7 +868,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -812,7 +877,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -821,7 +886,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -830,7 +895,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -839,7 +904,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -848,7 +913,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -857,7 +922,7 @@ Encoding Type: One-Hot +-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated ; +; Source assignments for neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-----------------------------------------------------------------------------------------------------------------+ @@ -880,8 +945,8 @@ Encoding Type: One-Hot ; Parameter Name ; Value ; Type ; +-------------------+----------+----------------------------------------------------------------+ ; CLOCK_FREQUENCY ; 50000000 ; Signed Integer ; -; MEM_INT_IMEM_SIZE ; 16384 ; Signed Integer ; -; MEM_INT_DMEM_SIZE ; 8192 ; Signed Integer ; +; MEM_INT_IMEM_SIZE ; 32768 ; Signed Integer ; +; MEM_INT_DMEM_SIZE ; 32768 ; Signed Integer ; +-------------------+----------+----------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -897,7 +962,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; int_bootloader_en ; true ; Enumerated ; ; on_chip_debugger_en ; false ; Enumerated ; ; cpu_extension_riscv_b ; false ; Enumerated ; -; cpu_extension_riscv_c ; false ; Enumerated ; +; cpu_extension_riscv_c ; true ; Enumerated ; ; cpu_extension_riscv_e ; false ; Enumerated ; ; cpu_extension_riscv_m ; true ; Enumerated ; ; cpu_extension_riscv_u ; false ; Enumerated ; @@ -916,9 +981,9 @@ Note: In order to hide this table in the UI and the text report file, please set ; hpm_num_cnts ; 0 ; Signed Integer ; ; hpm_cnt_width ; 40 ; Signed Integer ; ; mem_int_imem_en ; true ; Enumerated ; -; mem_int_imem_size ; 16384 ; Signed Integer ; +; mem_int_imem_size ; 32768 ; Signed Integer ; ; mem_int_dmem_en ; true ; Enumerated ; -; mem_int_dmem_size ; 8192 ; Signed Integer ; +; mem_int_dmem_size ; 32768 ; Signed Integer ; ; icache_en ; false ; Enumerated ; ; icache_num_blocks ; 4 ; Signed Integer ; ; icache_block_size ; 64 ; Signed Integer ; @@ -929,10 +994,14 @@ Note: In order to hide this table in the UI and the text report file, please set ; mem_ext_big_endian ; false ; Enumerated ; ; mem_ext_async_rx ; false ; Enumerated ; ; mem_ext_async_tx ; false ; Enumerated ; +; slink_num_tx ; 0 ; Signed Integer ; +; slink_num_rx ; 0 ; Signed Integer ; +; slink_tx_fifo ; 1 ; Signed Integer ; +; slink_rx_fifo ; 1 ; Signed Integer ; ; xirq_num_ch ; 0 ; Signed Integer ; ; xirq_trigger_type ; 11111111111111111111111111111111 ; Unsigned Binary ; ; xirq_trigger_polarity ; 11111111111111111111111111111111 ; Unsigned Binary ; -; io_gpio_num ; 8 ; Signed Integer ; +; io_gpio_en ; true ; Enumerated ; ; io_mtime_en ; true ; Enumerated ; ; io_uart0_en ; true ; Enumerated ; ; io_uart0_rx_fifo ; 1 ; Signed Integer ; @@ -941,9 +1010,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; io_uart1_rx_fifo ; 1 ; Signed Integer ; ; io_uart1_tx_fifo ; 1 ; Signed Integer ; ; io_spi_en ; false ; Enumerated ; -; io_spi_fifo ; 1 ; Signed Integer ; -; io_sdi_en ; false ; Enumerated ; -; io_sdi_fifo ; 0 ; Signed Integer ; +; io_spi_fifo ; 0 ; Signed Integer ; ; io_twi_en ; false ; Enumerated ; ; io_pwm_num_ch ; 0 ; Signed Integer ; ; io_wdt_en ; false ; Enumerated ; @@ -972,7 +1039,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; cpu_debug_park_addr ; 11111111111111111111100000001000 ; Unsigned Binary ; ; cpu_debug_exc_addr ; 11111111111111111111100000000000 ; Unsigned Binary ; ; cpu_extension_riscv_b ; false ; Enumerated ; -; cpu_extension_riscv_c ; false ; Enumerated ; +; cpu_extension_riscv_c ; true ; Enumerated ; ; cpu_extension_riscv_e ; false ; Enumerated ; ; cpu_extension_riscv_m ; true ; Enumerated ; ; cpu_extension_riscv_u ; false ; Enumerated ; @@ -1007,7 +1074,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; cpu_debug_park_addr ; 11111111111111111111100000001000 ; Unsigned Binary ; ; cpu_debug_exc_addr ; 11111111111111111111100000000000 ; Unsigned Binary ; ; cpu_extension_riscv_b ; false ; Enumerated ; -; cpu_extension_riscv_c ; false ; Enumerated ; +; cpu_extension_riscv_c ; true ; Enumerated ; ; cpu_extension_riscv_e ; false ; Enumerated ; ; cpu_extension_riscv_m ; true ; Enumerated ; ; cpu_extension_riscv_u ; false ; Enumerated ; @@ -1022,7 +1089,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; cpu_extension_riscv_sdtrig ; false ; Enumerated ; ; fast_mul_en ; false ; Enumerated ; ; fast_shift_en ; false ; Enumerated ; -; cpu_ipb_entries ; 1 ; Signed Integer ; +; cpu_ipb_entries ; 2 ; Signed Integer ; ; pmp_num_regions ; 0 ; Signed Integer ; ; pmp_min_granularity ; 4 ; Signed Integer ; ; hpm_num_cnts ; 0 ; Signed Integer ; @@ -1036,7 +1103,7 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Name ; Value ; Type ; +----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; fifo_depth ; 1 ; Signed Integer ; +; fifo_depth ; 2 ; Signed Integer ; ; fifo_width ; 18 ; Signed Integer ; ; fifo_rsync ; false ; Enumerated ; ; fifo_safe ; false ; Enumerated ; @@ -1050,7 +1117,7 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Name ; Value ; Type ; +----------------+-------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; fifo_depth ; 1 ; Signed Integer ; +; fifo_depth ; 2 ; Signed Integer ; ; fifo_width ; 18 ; Signed Integer ; ; fifo_rsync ; false ; Enumerated ; ; fifo_safe ; false ; Enumerated ; @@ -1059,6 +1126,16 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; fpu_enable ; false ; Enumerated ; ++----------------+-------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +-----------------------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst ; +-----------------------+-------+---------------------------------------------------------------------------------------------------------------------+ @@ -1263,7 +1340,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; Parameter Name ; Value ; Type ; +----------------+----------------------------------+--------------------------------------------------------------------------------------+ ; imem_base ; 00000000000000000000000000000000 ; Unsigned Binary ; -; imem_size ; 16384 ; Signed Integer ; +; imem_size ; 32768 ; Signed Integer ; ; imem_as_irom ; false ; Enumerated ; +----------------+----------------------------------+--------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1275,7 +1352,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; Parameter Name ; Value ; Type ; +----------------+----------------------------------+--------------------------------------------------------------------------------------+ ; dmem_base ; 10000000000000000000000000000000 ; Unsigned Binary ; -; dmem_size ; 8192 ; Signed Integer ; +; dmem_size ; 32768 ; Signed Integer ; +----------------+----------------------------------+--------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1290,16 +1367,6 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". -+----------------------------------------------------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst ; -+----------------+-------+---------------------------------------------------------------------------------------------------------+ -; Parameter Name ; Value ; Type ; -+----------------+-------+---------------------------------------------------------------------------------------------------------+ -; gpio_num ; 8 ; Signed Integer ; -+----------------+-------+---------------------------------------------------------------------------------------------------------+ -Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". - - +------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for User Entity Instance: neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst ; +----------------+-------+-----------------------------------------------------------------------------------------------------------+ @@ -1350,9 +1417,9 @@ Note: In order to hide this table in the UI and the text report file, please set ; int_bootloader_en ; true ; Enumerated ; ; pmp_num_regions ; 0 ; Signed Integer ; ; mem_int_imem_en ; true ; Enumerated ; -; mem_int_imem_size ; 16384 ; Signed Integer ; +; mem_int_imem_size ; 32768 ; Signed Integer ; ; mem_int_dmem_en ; true ; Enumerated ; -; mem_int_dmem_size ; 8192 ; Signed Integer ; +; mem_int_dmem_size ; 32768 ; Signed Integer ; ; icache_en ; false ; Enumerated ; ; icache_num_blocks ; 4 ; Signed Integer ; ; icache_block_size ; 64 ; Signed Integer ; @@ -1360,17 +1427,17 @@ Note: In order to hide this table in the UI and the text report file, please set ; mem_ext_en ; false ; Enumerated ; ; mem_ext_big_endian ; false ; Enumerated ; ; on_chip_debugger_en ; false ; Enumerated ; -; io_gpio_num ; 8 ; Signed Integer ; +; io_gpio_en ; true ; Enumerated ; ; io_mtime_en ; true ; Enumerated ; ; io_uart0_en ; true ; Enumerated ; ; io_uart1_en ; false ; Enumerated ; ; io_spi_en ; false ; Enumerated ; -; io_sdi_en ; false ; Enumerated ; ; io_twi_en ; false ; Enumerated ; ; io_pwm_num_ch ; 0 ; Signed Integer ; ; io_wdt_en ; false ; Enumerated ; ; io_trng_en ; false ; Enumerated ; ; io_cfs_en ; false ; Enumerated ; +; io_slink_en ; false ; Enumerated ; ; io_neoled_en ; false ; Enumerated ; ; io_xirq_num_ch ; 0 ; Signed Integer ; ; io_gptmr_en ; false ; Enumerated ; @@ -1393,8 +1460,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1402,8 +1469,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1436,7 +1503,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1454,8 +1521,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1463,8 +1530,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1497,7 +1564,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1515,8 +1582,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1524,8 +1591,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1558,7 +1625,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1576,8 +1643,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 11 ; Untyped ; -; NUMWORDS_A ; 2048 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1585,8 +1652,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 11 ; Untyped ; -; NUMWORDS_B ; 2048 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1619,7 +1686,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_c6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1637,8 +1704,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 12 ; Untyped ; -; NUMWORDS_A ; 4096 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1646,8 +1713,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 12 ; Untyped ; -; NUMWORDS_B ; 4096 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1680,7 +1747,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_o6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1698,8 +1765,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 12 ; Untyped ; -; NUMWORDS_A ; 4096 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1707,8 +1774,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 12 ; Untyped ; -; NUMWORDS_B ; 4096 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1741,7 +1808,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_o6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1759,8 +1826,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 12 ; Untyped ; -; NUMWORDS_A ; 4096 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1768,8 +1835,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 12 ; Untyped ; -; NUMWORDS_B ; 4096 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1802,7 +1869,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_o6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1820,8 +1887,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; WIDTH_BYTEENA ; 1 ; Untyped ; ; OPERATION_MODE ; DUAL_PORT ; Untyped ; ; WIDTH_A ; 8 ; Untyped ; -; WIDTHAD_A ; 12 ; Untyped ; -; NUMWORDS_A ; 4096 ; Untyped ; +; WIDTHAD_A ; 13 ; Untyped ; +; NUMWORDS_A ; 8192 ; Untyped ; ; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; ; ADDRESS_ACLR_A ; NONE ; Untyped ; ; OUTDATA_ACLR_A ; NONE ; Untyped ; @@ -1829,8 +1896,8 @@ Note: In order to hide this table in the UI and the text report file, please set ; INDATA_ACLR_A ; NONE ; Untyped ; ; BYTEENA_ACLR_A ; NONE ; Untyped ; ; WIDTH_B ; 8 ; Untyped ; -; WIDTHAD_B ; 12 ; Untyped ; -; NUMWORDS_B ; 4096 ; Untyped ; +; WIDTHAD_B ; 13 ; Untyped ; +; NUMWORDS_B ; 8192 ; Untyped ; ; INDATA_REG_B ; CLOCK1 ; Untyped ; ; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; ; RDCONTROL_REG_B ; CLOCK0 ; Untyped ; @@ -1863,7 +1930,7 @@ Note: In order to hide this table in the UI and the text report file, please set ; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; ; WIDTH_ECCSTATUS ; 3 ; Untyped ; ; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_o6q1 ; Untyped ; +; CBXI_PARAMETER ; altsyncram_s6q1 ; Untyped ; +------------------------------------+----------------------+--------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -1960,10 +2027,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -1971,10 +2038,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -1982,10 +2049,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -1993,10 +2060,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 2048 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -2004,10 +2071,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 4096 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 4096 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -2015,10 +2082,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 4096 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 4096 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -2026,10 +2093,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 4096 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 4096 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -2037,10 +2104,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; Entity Instance ; neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0 ; ; -- OPERATION_MODE ; DUAL_PORT ; ; -- WIDTH_A ; 8 ; -; -- NUMWORDS_A ; 4096 ; +; -- NUMWORDS_A ; 8192 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 8 ; -; -- NUMWORDS_B ; 4096 ; +; -- NUMWORDS_B ; 8192 ; ; -- ADDRESS_REG_B ; CLOCK0 ; ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; @@ -2096,22 +2163,24 @@ Note: In order to hide this table in the UI and the text report file, please set +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:1:prefetch_buffer_inst" ; -+-----------------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+-----------------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------------+ -; half_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; rdata_o[17..16] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; avail_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+-----------------+--------+----------+---------------------------------------------------------------------------------------------------------------------------------------------------+ ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; half_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wdata_i[16] ; Input ; Info ; Stuck at GND ; +; rdata_o[16] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst" ; -+--------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+--------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; half_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -+--------+--------+----------+------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +; half_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wdata_i[16] ; Input ; Info ; Stuck at GND ; +; rdata_o[16] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++-------------+--------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------+ +-------------------------------------------------------------------------------------------------------------------------+ @@ -2127,49 +2196,54 @@ Note: In order to hide this table in the UI and the text report file, please set +---------------+--------+----------+-------------------------------------------------------------------------------------+ -+-------------------------------------------------------------------------------------------------------------------------+ -; Port Connectivity Checks: "neorv32_top:neorv32_top_inst" ; -+---------------+--------+----------+-------------------------------------------------------------------------------------+ -; Port ; Type ; Severity ; Details ; -+---------------+--------+----------+-------------------------------------------------------------------------------------+ -; jtag_tdo_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_tag_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_adr_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_we_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_sel_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_stb_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_cyc_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; wb_ack_i ; Input ; Info ; Stuck at GND ; -; wb_err_i ; Input ; Info ; Stuck at GND ; -; fence_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; fencei_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; xip_csn_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; xip_clk_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; xip_dat_i ; Input ; Info ; Stuck at GND ; -; xip_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; gpio_o[63..8] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; uart0_rts_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; uart0_cts_i ; Input ; Info ; Stuck at GND ; -; uart1_txd_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; uart1_rts_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; uart1_cts_i ; Input ; Info ; Stuck at GND ; -; spi_clk_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; spi_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; spi_csn_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; sdi_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; sdi_csn_i ; Input ; Info ; Stuck at VCC ; -; twi_sda_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; twi_scl_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; onewire_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; pwm_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; cfs_out_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; neoled_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; -; xirq_i ; Input ; Info ; Stuck at GND ; -; mtime_irq_i ; Input ; Info ; Stuck at GND ; -; msw_irq_i ; Input ; Info ; Stuck at GND ; -; mext_irq_i ; Input ; Info ; Stuck at GND ; -+---------------+--------+----------+-------------------------------------------------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "neorv32_top:neorv32_top_inst" ; ++----------------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++----------------+--------+----------+-------------------------------------------------------------------------------------+ +; jtag_tdo_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_tag_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_adr_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_we_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_sel_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_stb_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_cyc_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; wb_ack_i ; Input ; Info ; Stuck at GND ; +; wb_err_i ; Input ; Info ; Stuck at GND ; +; fence_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; fencei_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; xip_csn_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; xip_clk_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; xip_sdi_i ; Input ; Info ; Stuck at GND ; +; xip_sdo_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; slink_tx_dat_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; slink_tx_val_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; slink_tx_rdy_i ; Input ; Info ; Stuck at GND ; +; slink_tx_lst_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; slink_rx_val_i ; Input ; Info ; Stuck at GND ; +; slink_rx_rdy_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; slink_rx_lst_i ; Input ; Info ; Stuck at GND ; +; gpio_o[63..8] ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; uart0_rts_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; uart0_cts_i ; Input ; Info ; Stuck at GND ; +; uart1_txd_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; uart1_rts_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; uart1_cts_i ; Input ; Info ; Stuck at GND ; +; spi_sck_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; spi_sdo_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; spi_csn_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; twi_sda_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; twi_scl_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; onewire_io ; Bidir ; Warning ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; pwm_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; cfs_out_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; neoled_o ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; xirq_i ; Input ; Info ; Stuck at GND ; +; mtime_irq_i ; Input ; Info ; Stuck at GND ; +; msw_irq_i ; Input ; Info ; Stuck at GND ; +; mext_irq_i ; Input ; Info ; Stuck at GND ; ++----------------+--------+----------+-------------------------------------------------------------------------------------+ +-----------------------------------------------------+ @@ -2177,47 +2251,47 @@ Note: In order to hide this table in the UI and the text report file, please set +-----------------------+-----------------------------+ ; Type ; Count ; +-----------------------+-----------------------------+ -; arriav_ff ; 1347 ; -; CLR ; 107 ; -; CLR SCLR ; 30 ; +; arriav_ff ; 1457 ; +; CLR ; 103 ; +; CLR SCLR ; 22 ; ; CLR SLD ; 131 ; -; ENA ; 133 ; -; ENA CLR ; 333 ; +; ENA ; 167 ; +; ENA CLR ; 396 ; ; ENA CLR SCLR ; 31 ; ; ENA CLR SLD ; 202 ; ; ENA SCLR ; 34 ; ; ENA SCLR SLD ; 32 ; ; ENA SLD ; 100 ; -; SCLR ; 54 ; -; SCLR SLD ; 17 ; +; SCLR ; 86 ; +; SCLR SLD ; 9 ; ; SLD ; 37 ; -; plain ; 106 ; -; arriav_lcell_comb ; 1955 ; -; arith ; 463 ; +; plain ; 107 ; +; arriav_lcell_comb ; 2191 ; +; arith ; 456 ; ; 0 data inputs ; 3 ; -; 1 data inputs ; 358 ; -; 2 data inputs ; 3 ; +; 1 data inputs ; 349 ; +; 2 data inputs ; 5 ; ; 3 data inputs ; 1 ; ; 4 data inputs ; 34 ; ; 5 data inputs ; 64 ; -; extend ; 28 ; -; 7 data inputs ; 28 ; -; normal ; 1431 ; +; extend ; 33 ; +; 7 data inputs ; 33 ; +; normal ; 1669 ; ; 0 data inputs ; 1 ; -; 1 data inputs ; 46 ; -; 2 data inputs ; 98 ; -; 3 data inputs ; 256 ; -; 4 data inputs ; 271 ; -; 5 data inputs ; 399 ; -; 6 data inputs ; 360 ; +; 1 data inputs ; 47 ; +; 2 data inputs ; 113 ; +; 3 data inputs ; 292 ; +; 4 data inputs ; 366 ; +; 5 data inputs ; 463 ; +; 6 data inputs ; 387 ; ; shared ; 33 ; ; 0 data inputs ; 1 ; ; 2 data inputs ; 32 ; ; boundary_port ; 12 ; ; stratixv_ram_block ; 160 ; ; ; ; -; Max LUT depth ; 8.00 ; -; Average LUT depth ; 3.56 ; +; Max LUT depth ; 9.00 ; +; Average LUT depth ; 3.88 ; +-----------------------+-----------------------------+ @@ -2226,7 +2300,7 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+--------------+ ; Partition Name ; Elapsed Time ; +----------------+--------------+ -; Top ; 00:00:05 ; +; Top ; 00:00:09 ; +----------------+--------------+ @@ -2235,178 +2309,179 @@ Note: In order to hide this table in the UI and the text report file, please set +-------------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Analysis & Synthesis - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Wed Mar 8 10:29:44 2023 + Info: Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition + Info: Processing started: Wed Mar 8 15:26:01 2023 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off bootloarder_1 -c test Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd - Info (12022): Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 61 - Info (12023): Found entity 1: neorv32_test_setup_bootloader File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 42 -Info (12021): Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd - Info (12022): Found design unit 1: neorv32_imem-neorv32_imem_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 46 -Info (12021): Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd - Info (12022): Found design unit 1: neorv32_dmem-neorv32_dmem_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 42 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd - Info (12022): Found design unit 1: neorv32_xirq-neorv32_xirq_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd Line: 72 - Info (12023): Found entity 1: neorv32_xirq File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xirq.vhd Line: 49 -Info (12021): Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd - Info (12022): Found design unit 1: neorv32_xip-neorv32_xip_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd Line: 82 - Info (12022): Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd Line: 501 - Info (12023): Found entity 1: neorv32_xip File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd Line: 48 - Info (12023): Found entity 2: neorv32_xip_phy File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_xip.vhd Line: 476 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd - Info (12022): Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd Line: 104 - Info (12023): Found entity 1: neorv32_wishbone File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wishbone.vhd Line: 55 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd - Info (12022): Found design unit 1: neorv32_wdt-neorv32_wdt_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd Line: 74 - Info (12023): Found entity 1: neorv32_wdt File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_wdt.vhd Line: 50 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd - Info (12022): Found design unit 1: neorv32_uart-neorv32_uart_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd Line: 99 - Info (12023): Found entity 1: neorv32_uart File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd Line: 68 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd - Info (12022): Found design unit 1: neorv32_twi-neorv32_twi_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd Line: 71 - Info (12023): Found entity 1: neorv32_twi File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_twi.vhd Line: 47 -Info (12021): Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd - Info (12022): Found design unit 1: neorv32_trng-neorv32_trng_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 63 - Info (12022): Found design unit 2: neoTRNG-neoTRNG_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 310 - Info (12022): Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 638 - Info (12023): Found entity 1: neorv32_trng File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 46 - Info (12023): Found entity 2: neoTRNG File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 292 - Info (12023): Found entity 3: neoTRNG_cell File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_trng.vhd Line: 622 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd - Info (12022): Found design unit 1: neorv32_top-neorv32_top_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 229 - Info (12023): Found entity 1: neorv32_top File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 47 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd - Info (12022): Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd Line: 99 - Info (12023): Found entity 1: neorv32_sysinfo File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sysinfo.vhd Line: 45 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd - Info (12022): Found design unit 1: neorv32_spi-neorv32_spi_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd Line: 69 - Info (12023): Found entity 1: neorv32_spi File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_spi.vhd Line: 42 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd - Info (12022): Found design unit 1: neorv32_sdi-neorv32_sdi_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd Line: 69 - Info (12023): Found entity 1: neorv32_sdi File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_sdi.vhd Line: 45 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd - Info (12022): Found design unit 1: neorv32_pwm-neorv32_pwm_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd Line: 67 - Info (12023): Found entity 1: neorv32_pwm File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_pwm.vhd Line: 45 -Info (12021): Found 4 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd - Info (12022): Found design unit 1: neorv32_package (neorv32) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd Line: 39 - Info (12022): Found design unit 2: neorv32_package-body File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd Line: 2260 - Info (12022): Found design unit 3: neorv32_bootloader_image (neorv32) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd Line: 2580 - Info (12022): Found design unit 4: neorv32_application_image (neorv32) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_package.vhd Line: 2597 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd - Info (12022): Found design unit 1: neorv32_onewire-neorv32_onewire_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd Line: 73 - Info (12023): Found entity 1: neorv32_onewire File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_onewire.vhd Line: 51 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd - Info (12022): Found design unit 1: neorv32_neoled-neorv32_neoled_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd Line: 80 - Info (12023): Found entity 1: neorv32_neoled File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_neoled.vhd Line: 56 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd - Info (12022): Found design unit 1: neorv32_mtime-neorv32_mtime_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd Line: 61 - Info (12023): Found entity 1: neorv32_mtime File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_mtime.vhd Line: 45 -Info (12021): Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd - Info (12023): Found entity 1: neorv32_imem File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_imem.entity.vhd Line: 42 -Info (12021): Found 4 design units, including 2 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd - Info (12022): Found design unit 1: neorv32_icache-neorv32_icache_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd Line: 73 - Info (12022): Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd Line: 414 - Info (12023): Found entity 1: neorv32_icache File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd Line: 45 - Info (12023): Found entity 2: neorv32_icache_memory File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_icache.vhd Line: 385 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd - Info (12022): Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd Line: 66 - Info (12023): Found entity 1: neorv32_gptmr File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gptmr.vhd Line: 47 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd - Info (12022): Found design unit 1: neorv32_gpio-neorv32_gpio_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd Line: 62 - Info (12023): Found entity 1: neorv32_gpio File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_gpio.vhd Line: 42 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd - Info (12022): Found design unit 1: neorv32_fifo-neorv32_fifo_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd Line: 67 - Info (12023): Found entity 1: neorv32_fifo File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_fifo.vhd Line: 42 -Info (12021): Found 1 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd - Info (12023): Found entity 1: neorv32_dmem File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_dmem.entity.vhd Line: 39 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd - Info (12022): Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd Line: 70 - Info (12023): Found entity 1: neorv32_debug_dtm File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dtm.vhd Line: 41 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd - Info (12022): Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd Line: 88 - Info (12023): Found entity 1: neorv32_debug_dm File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_debug_dm.vhd Line: 58 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd - Info (12022): Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd Line: 78 - Info (12023): Found entity 1: neorv32_cpu_regfile File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_regfile.vhd Line: 54 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd - Info (12022): Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd Line: 55 - Info (12023): Found entity 1: neorv32_cpu_decompressor File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_decompressor.vhd Line: 42 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd - Info (12022): Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd Line: 65 - Info (12023): Found entity 1: neorv32_cpu_cp_shifter File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd Line: 45 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd - Info (12022): Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd Line: 67 - Info (12023): Found entity 1: neorv32_cpu_cp_muldiv File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd Line: 46 -Info (12021): Found 6 design units, including 3 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd - Info (12022): Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 80 - Info (12022): Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1221 - Info (12022): Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1609 - Info (12023): Found entity 1: neorv32_cpu_cp_fpu File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 58 - Info (12023): Found entity 2: neorv32_cpu_cp_fpu_normalizer File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1199 - Info (12023): Found entity 3: neorv32_cpu_cp_fpu_f2i File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1586 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd - Info (12022): Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd Line: 68 - Info (12023): Found entity 1: neorv32_cpu_cp_cfu File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd Line: 47 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd - Info (12022): Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd Line: 73 - Info (12023): Found entity 1: neorv32_cpu_cp_bitmanip File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd Line: 51 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd - Info (12022): Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 133 - Info (12023): Found entity 1: neorv32_cpu_control File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 52 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd - Info (12022): Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 85 - Info (12023): Found entity 1: neorv32_cpu_bus File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 44 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd - Info (12022): Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 80 - Info (12023): Found entity 1: neorv32_cpu_alu File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 44 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd - Info (12022): Found design unit 1: neorv32_cpu-neorv32_cpu_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 111 - Info (12023): Found entity 1: neorv32_cpu File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 42 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd - Info (12022): Found design unit 1: neorv32_cfs-neorv32_cfs_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd Line: 78 - Info (12023): Found entity 1: neorv32_cfs File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cfs.vhd Line: 49 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd - Info (12022): Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd Line: 91 - Info (12023): Found entity 1: neorv32_busswitch File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_busswitch.vhd Line: 45 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd - Info (12022): Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd Line: 70 - Info (12023): Found entity 1: neorv32_bus_keeper File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bus_keeper.vhd Line: 46 -Info (12021): Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd - Info (12022): Found design unit 1: neorv32_bootloader_image-body File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_bootloader_image.vhd Line: 8 -Info (12021): Found 2 design units, including 1 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd - Info (12022): Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 58 - Info (12023): Found entity 1: neorv32_boot_rom File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 43 -Info (12021): Found 1 design units, including 0 entities, in source file /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd - Info (12022): Found design unit 1: neorv32_application_image-body File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_application_image.vhd Line: 8 +Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd + Info (12022): Found design unit 1: neorv32_test_setup_bootloader-neorv32_test_setup_bootloader_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 61 + Info (12023): Found entity 1: neorv32_test_setup_bootloader File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 42 +Info (12021): Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd + Info (12022): Found design unit 1: neorv32_imem-neorv32_imem_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 46 +Info (12021): Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd + Info (12022): Found design unit 1: neorv32_dmem-neorv32_dmem_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 42 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd + Info (12022): Found design unit 1: neorv32_xirq-neorv32_xirq_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd Line: 72 + Info (12023): Found entity 1: neorv32_xirq File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xirq.vhd Line: 49 +Info (12021): Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd + Info (12022): Found design unit 1: neorv32_xip-neorv32_xip_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd Line: 82 + Info (12022): Found design unit 2: neorv32_xip_phy-neorv32_xip_phy_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd Line: 501 + Info (12023): Found entity 1: neorv32_xip File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd Line: 48 + Info (12023): Found entity 2: neorv32_xip_phy File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_xip.vhd Line: 476 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd + Info (12022): Found design unit 1: neorv32_wishbone-neorv32_wishbone_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd Line: 104 + Info (12023): Found entity 1: neorv32_wishbone File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wishbone.vhd Line: 55 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd + Info (12022): Found design unit 1: neorv32_wdt-neorv32_wdt_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd Line: 74 + Info (12023): Found entity 1: neorv32_wdt File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_wdt.vhd Line: 50 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd + Info (12022): Found design unit 1: neorv32_uart-neorv32_uart_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd Line: 99 + Info (12023): Found entity 1: neorv32_uart File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd Line: 68 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd + Info (12022): Found design unit 1: neorv32_twi-neorv32_twi_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd Line: 71 + Info (12023): Found entity 1: neorv32_twi File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_twi.vhd Line: 47 +Info (12021): Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd + Info (12022): Found design unit 1: neorv32_trng-neorv32_trng_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 63 + Info (12022): Found design unit 2: neoTRNG-neoTRNG_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 310 + Info (12022): Found design unit 3: neoTRNG_cell-neoTRNG_cell_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 638 + Info (12023): Found entity 1: neorv32_trng File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 46 + Info (12023): Found entity 2: neoTRNG File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 292 + Info (12023): Found entity 3: neoTRNG_cell File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_trng.vhd Line: 622 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd + Info (12022): Found design unit 1: neorv32_top-neorv32_top_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 239 + Info (12023): Found entity 1: neorv32_top File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 47 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd + Info (12022): Found design unit 1: neorv32_sysinfo-neorv32_sysinfo_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd Line: 99 + Info (12023): Found entity 1: neorv32_sysinfo File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_sysinfo.vhd Line: 45 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd + Info (12022): Found design unit 1: neorv32_spi-neorv32_spi_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd Line: 73 + Info (12023): Found entity 1: neorv32_spi File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_spi.vhd Line: 46 +Warning (12019): Can't analyze file -- file ../neorv32/rtl/core/neorv32_sdi.vhd is missing +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd + Info (12022): Found design unit 1: neorv32_pwm-neorv32_pwm_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd Line: 67 + Info (12023): Found entity 1: neorv32_pwm File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_pwm.vhd Line: 45 +Info (12021): Found 4 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd + Info (12022): Found design unit 1: neorv32_package (neorv32) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd Line: 39 + Info (12022): Found design unit 2: neorv32_package-body File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd Line: 2289 + Info (12022): Found design unit 3: neorv32_bootloader_image (neorv32) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd Line: 2609 + Info (12022): Found design unit 4: neorv32_application_image (neorv32) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_package.vhd Line: 2626 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd + Info (12022): Found design unit 1: neorv32_onewire-neorv32_onewire_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd Line: 73 + Info (12023): Found entity 1: neorv32_onewire File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_onewire.vhd Line: 51 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd + Info (12022): Found design unit 1: neorv32_neoled-neorv32_neoled_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd Line: 80 + Info (12023): Found entity 1: neorv32_neoled File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_neoled.vhd Line: 56 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd + Info (12022): Found design unit 1: neorv32_mtime-neorv32_mtime_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd Line: 61 + Info (12023): Found entity 1: neorv32_mtime File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_mtime.vhd Line: 45 +Info (12021): Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd + Info (12023): Found entity 1: neorv32_imem File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_imem.entity.vhd Line: 42 +Info (12021): Found 4 design units, including 2 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd + Info (12022): Found design unit 1: neorv32_icache-neorv32_icache_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd Line: 73 + Info (12022): Found design unit 2: neorv32_icache_memory-neorv32_icache_memory_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd Line: 414 + Info (12023): Found entity 1: neorv32_icache File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd Line: 45 + Info (12023): Found entity 2: neorv32_icache_memory File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_icache.vhd Line: 385 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd + Info (12022): Found design unit 1: neorv32_gptmr-neorv32_gptmr_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd Line: 66 + Info (12023): Found entity 1: neorv32_gptmr File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gptmr.vhd Line: 47 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd + Info (12022): Found design unit 1: neorv32_gpio-neorv32_gpio_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd Line: 63 + Info (12023): Found entity 1: neorv32_gpio File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_gpio.vhd Line: 45 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd + Info (12022): Found design unit 1: neorv32_fifo-neorv32_fifo_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd Line: 67 + Info (12023): Found entity 1: neorv32_fifo File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_fifo.vhd Line: 42 +Info (12021): Found 1 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd + Info (12023): Found entity 1: neorv32_dmem File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_dmem.entity.vhd Line: 39 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd + Info (12022): Found design unit 1: neorv32_debug_dtm-neorv32_debug_dtm_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd Line: 70 + Info (12023): Found entity 1: neorv32_debug_dtm File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dtm.vhd Line: 41 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd + Info (12022): Found design unit 1: neorv32_debug_dm-neorv32_debug_dm_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd Line: 88 + Info (12023): Found entity 1: neorv32_debug_dm File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_debug_dm.vhd Line: 58 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd + Info (12022): Found design unit 1: neorv32_cpu_regfile-neorv32_cpu_regfile_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd Line: 78 + Info (12023): Found entity 1: neorv32_cpu_regfile File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_regfile.vhd Line: 54 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd + Info (12022): Found design unit 1: neorv32_cpu_decompressor-neorv32_cpu_decompressor_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd Line: 55 + Info (12023): Found entity 1: neorv32_cpu_decompressor File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_decompressor.vhd Line: 42 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd + Info (12022): Found design unit 1: neorv32_cpu_cp_shifter-neorv32_cpu_cp_shifter_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd Line: 65 + Info (12023): Found entity 1: neorv32_cpu_cp_shifter File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_shifter.vhd Line: 45 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd + Info (12022): Found design unit 1: neorv32_cpu_cp_muldiv-neorv32_cpu_cp_muldiv_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd Line: 67 + Info (12023): Found entity 1: neorv32_cpu_cp_muldiv File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_muldiv.vhd Line: 46 +Info (12021): Found 6 design units, including 3 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd + Info (12022): Found design unit 1: neorv32_cpu_cp_fpu-neorv32_cpu_cp_fpu_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 80 + Info (12022): Found design unit 2: neorv32_cpu_cp_fpu_normalizer-neorv32_cpu_cp_fpu_normalizer_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1200 + Info (12022): Found design unit 3: neorv32_cpu_cp_fpu_f2i-neorv32_cpu_cp_fpu_f2i_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1585 + Info (12023): Found entity 1: neorv32_cpu_cp_fpu File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 58 + Info (12023): Found entity 2: neorv32_cpu_cp_fpu_normalizer File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1178 + Info (12023): Found entity 3: neorv32_cpu_cp_fpu_f2i File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_fpu.vhd Line: 1565 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd + Info (12022): Found design unit 1: neorv32_cpu_cp_cfu-neorv32_cpu_cp_cfu_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd Line: 68 + Info (12023): Found entity 1: neorv32_cpu_cp_cfu File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_cfu.vhd Line: 47 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd + Info (12022): Found design unit 1: neorv32_cpu_cp_bitmanip-neorv32_cpu_cp_bitmanip_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd Line: 73 + Info (12023): Found entity 1: neorv32_cpu_cp_bitmanip File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_cp_bitmanip.vhd Line: 51 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd + Info (12022): Found design unit 1: neorv32_cpu_control-neorv32_cpu_control_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 132 + Info (12023): Found entity 1: neorv32_cpu_control File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 52 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd + Info (12022): Found design unit 1: neorv32_cpu_bus-neorv32_cpu_bus_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 85 + Info (12023): Found entity 1: neorv32_cpu_bus File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 44 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd + Info (12022): Found design unit 1: neorv32_cpu_alu-neorv32_cpu_cpu_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 79 + Info (12023): Found entity 1: neorv32_cpu_alu File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 44 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd + Info (12022): Found design unit 1: neorv32_cpu-neorv32_cpu_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 116 + Info (12023): Found entity 1: neorv32_cpu File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 47 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd + Info (12022): Found design unit 1: neorv32_cfs-neorv32_cfs_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd Line: 78 + Info (12023): Found entity 1: neorv32_cfs File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cfs.vhd Line: 49 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd + Info (12022): Found design unit 1: neorv32_busswitch-neorv32_busswitch_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd Line: 91 + Info (12023): Found entity 1: neorv32_busswitch File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_busswitch.vhd Line: 45 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd + Info (12022): Found design unit 1: neorv32_bus_keeper-neorv32_bus_keeper_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd Line: 70 + Info (12023): Found entity 1: neorv32_bus_keeper File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bus_keeper.vhd Line: 46 +Info (12021): Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd + Info (12022): Found design unit 1: neorv32_bootloader_image-body File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_bootloader_image.vhd Line: 8 +Info (12021): Found 2 design units, including 1 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd + Info (12022): Found design unit 1: neorv32_boot_rom-neorv32_boot_rom_rtl File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 58 + Info (12023): Found entity 1: neorv32_boot_rom File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 43 +Info (12021): Found 1 design units, including 0 entities, in source file /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd + Info (12022): Found design unit 1: neorv32_application_image-body File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_application_image.vhd Line: 8 Info (12127): Elaborating entity "neorv32_test_setup_bootloader" for the top level hierarchy -Info (12128): Elaborating entity "neorv32_top" for hierarchy "neorv32_top:neorv32_top_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 69 -Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(241): object "rstn_ext" assigned a value but never read File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 241 -Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(269): object "cpu_s" assigned a value but never read File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 269 -Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(374): object "xip_enable" assigned a value but never read File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 374 -Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(375): object "xip_page" assigned a value but never read File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 375 -Info (10544): VHDL Assertion Statement at neorv32_top.vhd(381): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 " (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 381 -Info (10544): VHDL Assertion Statement at neorv32_top.vhd(406): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 406 -Info (12128): Elaborating entity "neorv32_cpu" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 528 -Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(161): assertion is false - report "The NEORV32 RISC-V Processor - github.com/stnolting/neorv32" (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 161 -Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(164): assertion is false - report "NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IM_Zicsr_Zicntr" (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 164 -Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(186): assertion is false - report "NEORV32 CPU NOTE: Assuming this is real hardware." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 186 -Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(196): assertion is false - report "NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 196 -Info (12128): Elaborating entity "neorv32_cpu_control" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 256 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(250): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 250 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(252): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 252 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(254): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 254 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(256): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 256 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(258): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 258 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(366): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 366 -Warning (10037): Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1154): conditional expression evaluates to a constant File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 1154 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2084): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2084 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2490): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2490 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2527): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2527 -Warning (10296): VHDL warning at neorv32_cpu_control.vhd(2560): ignored assignment of value to null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2560 -Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 495 -Info (12128): Elaborating entity "neorv32_cpu_regfile" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 348 +Info (12128): Elaborating entity "neorv32_top" for hierarchy "neorv32_top:neorv32_top_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/test_setups/neorv32_test_setup_bootloader.vhd Line: 69 +Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(254): object "rstn_ext" assigned a value but never read File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 254 +Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(282): object "cpu_s" assigned a value but never read File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 282 +Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(388): object "xip_enable" assigned a value but never read File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 388 +Warning (10036): Verilog HDL or VHDL warning at neorv32_top.vhd(389): object "xip_page" assigned a value but never read File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 389 +Info (10544): VHDL Assertion Statement at neorv32_top.vhd(395): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Peripherals = GPIO MTIME UART0 " (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 395 +Info (10544): VHDL Assertion Statement at neorv32_top.vhd(420): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Boot configuration: Indirect boot via bootloader (processor-internal BOOTROM)." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 420 +Info (12128): Elaborating entity "neorv32_cpu" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 542 +Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(165): assertion is false - report "The NEORV32 RISC-V Processor - github.com/stnolting/neorv32" (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 165 +Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(168): assertion is false - report "NEORV32 CPU CONFIG NOTE: Core ISA ('MARCH') = RV32IMC_Zicsr_Zicntr" (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 168 +Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(190): assertion is false - report "NEORV32 CPU NOTE: Assuming this is real hardware." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 190 +Info (10544): VHDL Assertion Statement at neorv32_cpu.vhd(200): assertion is false - report "NEORV32 CPU CONFIG NOTE: Boot from address 0xffff0000." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 200 +Warning (10651): VHDL Assertion Statement at neorv32_cpu.vhd(214): assertion is false - report "NEORV32 CPU CONFIG WARNING! Overriding configuration (setting =2) because C ISA extension is enabled." (WARNING) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 214 +Info (12128): Elaborating entity "neorv32_cpu_control" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 260 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(249): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 249 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(251): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 251 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(253): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 253 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(255): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 255 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(257): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 257 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(365): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 365 +Warning (10037): Verilog HDL or VHDL warning at neorv32_cpu_control.vhd(1143): conditional expression evaluates to a constant File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 1143 +Warning (10631): VHDL Process Statement warning at neorv32_cpu_control.vhd(1686): inferring latch(es) for signal or variable "csr", which holds its previous value in one or more paths through the process File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 1686 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2074): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2074 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2480): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2480 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_control.vhd(2517): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2517 +Warning (10296): VHDL warning at neorv32_cpu_control.vhd(2550): ignored assignment of value to null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 2550 +Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_fifo:\prefetch_buffer:0:prefetch_buffer_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 494 +Info (12128): Elaborating entity "neorv32_cpu_decompressor" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_control:neorv32_cpu_control_inst|neorv32_cpu_decompressor:\neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_control.vhd Line: 591 +Info (12128): Elaborating entity "neorv32_cpu_regfile" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 351 Info (12128): Elaborating entity "altsyncram" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1" Info (12130): Elaborated megafunction instantiation "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1" Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1" with the following parameter: @@ -2426,50 +2501,50 @@ Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_cp Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_u2n1.tdf - Info (12023): Found entity 1: altsyncram_u2n1 File: /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_u2n1.tdf Line: 28 -Info (12128): Elaborating entity "altsyncram_u2n1" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated" File: /home/stepan/Travail/SETI/Projet/Quartus/quartus/libraries/megafunctions/altsyncram.tdf Line: 792 -Info (12128): Elaborating entity "neorv32_cpu_alu" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 374 -Info (12128): Elaborating entity "neorv32_cpu_cp_shifter" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 216 -Info (12128): Elaborating entity "neorv32_cpu_cp_muldiv" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 240 -Info (12128): Elaborating entity "neorv32_cpu_bus" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu.vhd Line: 412 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 114 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 115 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 116 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 117 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 118 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 119 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 120 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 121 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 122 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 427 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 446 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 467 -Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 500 -Info (12128): Elaborating entity "neorv32_busswitch" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 676 -Info (12128): Elaborating entity "neorv32_bus_keeper" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 748 -Info (12128): Elaborating entity "neorv32_imem" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 784 -Info (10544): VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 89 -Info (10544): VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (16384 bytes)." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 96 -Info (12128): Elaborating entity "neorv32_dmem" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 813 -Info (10544): VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 72 -Info (10544): VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 8192 bytes)." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 75 -Info (12128): Elaborating entity "neorv32_boot_rom" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 841 -Info (10544): VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes)." (NOTE) File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 81 -Info (12128): Elaborating entity "neorv32_gpio" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 1082 -Info (12128): Elaborating entity "neorv32_mtime" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 1154 -Info (12128): Elaborating entity "neorv32_uart" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 1183 -Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd Line: 334 -Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_uart.vhd Line: 510 -Info (12128): Elaborating entity "neorv32_sysinfo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst" File: /home/stepan/Travail/SETI/Projet/neorv32/rtl/core/neorv32_top.vhd Line: 1580 + Info (12023): Found entity 1: altsyncram_u2n1 File: /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_u2n1.tdf Line: 28 +Info (12128): Elaborating entity "altsyncram_u2n1" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated" File: /home/seti/intelFPGA/22.1std/quartus/libraries/megafunctions/altsyncram.tdf Line: 792 +Info (12128): Elaborating entity "neorv32_cpu_alu" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 377 +Info (12128): Elaborating entity "neorv32_cpu_cp_shifter" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter:neorv32_cpu_cp_shifter_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 174 +Info (12128): Elaborating entity "neorv32_cpu_cp_muldiv" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_alu:neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv:\neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_alu.vhd Line: 198 +Info (12128): Elaborating entity "neorv32_cpu_bus" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_bus:neorv32_cpu_bus_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu.vhd Line: 414 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(114): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 114 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(115): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 115 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(116): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 116 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(117): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 117 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(118): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 118 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(119): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 119 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(120): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 120 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(121): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 121 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(122): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 122 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(427): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 427 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(446): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 446 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(467): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 467 +Warning (10445): VHDL Subtype or Type Declaration warning at neorv32_cpu_bus.vhd(500): subtype or type has null range File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_cpu_bus.vhd Line: 500 +Info (12128): Elaborating entity "neorv32_busswitch" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_busswitch:neorv32_busswitch_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 691 +Info (12128): Elaborating entity "neorv32_bus_keeper" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_bus_keeper:neorv32_bus_keeper_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 763 +Info (12128): Elaborating entity "neorv32_imem" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 799 +Info (10544): VHDL Assertion Statement at neorv32_imem.default.vhd(89): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic IMEM." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 89 +Info (10544): VHDL Assertion Statement at neorv32_imem.default.vhd(96): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal IMEM as blank RAM (32768 bytes)." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_imem.default.vhd Line: 96 +Info (12128): Elaborating entity "neorv32_dmem" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 828 +Info (10544): VHDL Assertion Statement at neorv32_dmem.default.vhd(72): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Using DEFAULT platform-agnostic DMEM." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 72 +Info (10544): VHDL Assertion Statement at neorv32_dmem.default.vhd(75): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing processor-internal DMEM (RAM, 32768 bytes)." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/mem/neorv32_dmem.default.vhd Line: 75 +Info (12128): Elaborating entity "neorv32_boot_rom" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 856 +Info (10544): VHDL Assertion Statement at neorv32_boot_rom.vhd(81): assertion is false - report "NEORV32 PROCESSOR CONFIG NOTE: Implementing internal bootloader ROM (4096 bytes)." (NOTE) File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_boot_rom.vhd Line: 81 +Info (12128): Elaborating entity "neorv32_gpio" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_gpio:\neorv32_gpio_inst_true:neorv32_gpio_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 1059 +Info (12128): Elaborating entity "neorv32_mtime" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_mtime:\neorv32_mtime_inst_true:neorv32_mtime_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 1128 +Info (12128): Elaborating entity "neorv32_uart" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 1157 +Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:tx_engine_fifo_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd Line: 334 +Info (12128): Elaborating entity "neorv32_fifo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_uart:\neorv32_uart0_inst_true:neorv32_uart0_inst|neorv32_fifo:rx_engine_fifo_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_uart.vhd Line: 510 +Info (12128): Elaborating entity "neorv32_sysinfo" for hierarchy "neorv32_top:neorv32_top_inst|neorv32_sysinfo:neorv32_sysinfo_inst" File: /home/seti/Desktop/Projet_SETI_RISC-V/neorv32/rtl/core/neorv32_top.vhd Line: 1605 Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2482,11 +2557,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2499,11 +2574,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2516,11 +2591,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 11 - Info (286033): Parameter NUMWORDS_A set to 2048 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 11 - Info (286033): Parameter NUMWORDS_B set to 2048 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2533,11 +2608,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 12 - Info (286033): Parameter NUMWORDS_A set to 4096 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 12 - Info (286033): Parameter NUMWORDS_B set to 4096 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2550,11 +2625,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 12 - Info (286033): Parameter NUMWORDS_A set to 4096 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 12 - Info (286033): Parameter NUMWORDS_B set to 4096 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2567,11 +2642,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 12 - Info (286033): Parameter NUMWORDS_A set to 4096 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 12 - Info (286033): Parameter NUMWORDS_B set to 4096 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2584,11 +2659,11 @@ Info (19000): Inferred 9 megafunctions from design logic Info (276029): Inferred altsyncram megafunction from the following design logic: "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0" Info (286033): Parameter OPERATION_MODE set to DUAL_PORT Info (286033): Parameter WIDTH_A set to 8 - Info (286033): Parameter WIDTHAD_A set to 12 - Info (286033): Parameter NUMWORDS_A set to 4096 + Info (286033): Parameter WIDTHAD_A set to 13 + Info (286033): Parameter NUMWORDS_A set to 8192 Info (286033): Parameter WIDTH_B set to 8 - Info (286033): Parameter WIDTHAD_B set to 12 - Info (286033): Parameter NUMWORDS_B set to 4096 + Info (286033): Parameter WIDTHAD_B set to 13 + Info (286033): Parameter NUMWORDS_B set to 8192 Info (286033): Parameter ADDRESS_ACLR_A set to NONE Info (286033): Parameter OUTDATA_REG_B set to UNREGISTERED Info (286033): Parameter ADDRESS_ACLR_B set to NONE @@ -2610,31 +2685,11 @@ Info (12130): Elaborated megafunction instantiation "neorv32_top:neorv32_top_ins Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0" with the following parameter: Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "11" - Info (12134): Parameter "NUMWORDS_A" = "2048" - Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "11" - Info (12134): Parameter "NUMWORDS_B" = "2048" - Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" - Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" - Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" - Info (12134): Parameter "OUTDATA_ACLR_B" = "NONE" - Info (12134): Parameter "ADDRESS_REG_B" = "CLOCK0" - Info (12134): Parameter "INDATA_ACLR_A" = "NONE" - Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" - Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" - Info (12134): Parameter "RDCONTROL_REG_B" = "CLOCK0" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_c6q1.tdf - Info (12023): Found entity 1: altsyncram_c6q1 File: /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_c6q1.tdf Line: 28 -Info (12130): Elaborated megafunction instantiation "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0" -Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0" with the following parameter: - Info (12134): Parameter "OPERATION_MODE" = "DUAL_PORT" - Info (12134): Parameter "WIDTH_A" = "8" - Info (12134): Parameter "WIDTHAD_A" = "12" - Info (12134): Parameter "NUMWORDS_A" = "4096" + Info (12134): Parameter "WIDTHAD_A" = "13" + Info (12134): Parameter "NUMWORDS_A" = "8192" Info (12134): Parameter "WIDTH_B" = "8" - Info (12134): Parameter "WIDTHAD_B" = "12" - Info (12134): Parameter "NUMWORDS_B" = "4096" + Info (12134): Parameter "WIDTHAD_B" = "13" + Info (12134): Parameter "NUMWORDS_B" = "8192" Info (12134): Parameter "ADDRESS_ACLR_A" = "NONE" Info (12134): Parameter "OUTDATA_REG_B" = "UNREGISTERED" Info (12134): Parameter "ADDRESS_ACLR_B" = "NONE" @@ -2644,8 +2699,8 @@ Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_im Info (12134): Parameter "WRCONTROL_ACLR_A" = "NONE" Info (12134): Parameter "READ_DURING_WRITE_MODE_MIXED_PORTS" = "OLD_DATA" Info (12134): Parameter "RDCONTROL_REG_B" = "CLOCK0" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_o6q1.tdf - Info (12023): Found entity 1: altsyncram_o6q1 File: /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_o6q1.tdf Line: 28 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_s6q1.tdf + Info (12023): Found entity 1: altsyncram_s6q1 File: /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_s6q1.tdf Line: 28 Info (12130): Elaborated megafunction instantiation "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0" Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0" with the following parameter: Info (12134): Parameter "OPERATION_MODE" = "ROM" @@ -2656,7 +2711,7 @@ Info (12133): Instantiated megafunction "neorv32_top:neorv32_top_inst|neorv32_bo Info (12134): Parameter "RAM_BLOCK_TYPE" = "AUTO" Info (12134): Parameter "INIT_FILE" = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif" Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_bg91.tdf - Info (12023): Found entity 1: altsyncram_bg91 File: /home/stepan/Travail/SETI/Projet/proj_quartus/db/altsyncram_bg91.tdf Line: 28 + Info (12023): Found entity 1: altsyncram_bg91 File: /home/seti/Desktop/Projet_SETI_RISC-V/proj_quartus/db/altsyncram_bg91.tdf Line: 28 Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder Info (286030): Timing-Driven Synthesis is running Info (17049): 43 registers lost all their fanouts during netlist optimizations. @@ -2687,15 +2742,15 @@ Warning (20013): Ignored 24 assignments for entity "gfsdg" -- entity does not ex Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL -Info (21057): Implemented 2640 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 2929 device resources after synthesis - the final resource count might be different Info (21058): Implemented 3 input pins Info (21059): Implemented 9 output pins - Info (21061): Implemented 2468 logic cells + Info (21061): Implemented 2757 logic cells Info (21064): Implemented 160 RAM segments -Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 55 warnings - Info: Peak virtual memory: 627 megabytes - Info: Processing ended: Wed Mar 8 10:29:58 2023 - Info: Elapsed time: 00:00:14 - Info: Total CPU time (on all processors): 00:00:19 +Info: Quartus Prime Analysis & Synthesis was successful. 0 errors, 58 warnings + Info: Peak virtual memory: 588 megabytes + Info: Processing ended: Wed Mar 8 15:26:19 2023 + Info: Elapsed time: 00:00:18 + Info: Total CPU time (on all processors): 00:00:25 diff --git a/proj_quartus/output_files/test.map.summary b/proj_quartus/output_files/test.map.summary index 3e7d41263..56ebf6d73 100644 --- a/proj_quartus/output_files/test.map.summary +++ b/proj_quartus/output_files/test.map.summary @@ -1,13 +1,13 @@ -Analysis & Synthesis Status : Successful - Wed Mar 8 10:29:58 2023 -Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Analysis & Synthesis Status : Successful - Wed Mar 8 15:26:19 2023 +Quartus Prime Version : 22.1std.0 Build 915 10/25/2022 SC Standard Edition Revision Name : test Top-level Entity Name : neorv32_test_setup_bootloader Family : Cyclone V Logic utilization (in ALMs) : N/A -Total registers : 1347 +Total registers : 1457 Total pins : 12 Total virtual pins : 0 -Total block memory bits : 231,424 +Total block memory bits : 559,104 Total DSP Blocks : 0 Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 diff --git a/proj_quartus/output_files/test.pin b/proj_quartus/output_files/test.pin index e793f4612..da070c2fb 100644 --- a/proj_quartus/output_files/test.pin +++ b/proj_quartus/output_files/test.pin @@ -74,7 +74,7 @@ -- Pin directions (input, output or bidir) are based on device operating in user mode. --------------------------------------------------------------------------------- -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition CHIP "test" ASSIGNED TO AN: 5CSEMA5F31C6 Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment diff --git a/proj_quartus/output_files/test.sof b/proj_quartus/output_files/test.sof index 2a86a10e5..bc81157b4 100644 Binary files a/proj_quartus/output_files/test.sof and b/proj_quartus/output_files/test.sof differ diff --git a/proj_quartus/output_files/test.sta.rpt b/proj_quartus/output_files/test.sta.rpt index fd62fb23d..1b748c756 100644 --- a/proj_quartus/output_files/test.sta.rpt +++ b/proj_quartus/output_files/test.sta.rpt @@ -1,6 +1,6 @@ Timing Analyzer report for test -Wed Mar 8 10:31:14 2023 -Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition +Wed Mar 8 15:27:53 2023 +Quartus Prime Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition --------------------- @@ -80,18 +80,18 @@ https://fpgasoftware.intel.com/eula. -+--------------------------------------------------------------------------------+ -; Timing Analyzer Summary ; -+-----------------------+--------------------------------------------------------+ -; Quartus Prime Version ; Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition ; -; Timing Analyzer ; Legacy Timing Analyzer ; -; Revision Name ; test ; -; Device Family ; Cyclone V ; -; Device Name ; 5CSEMA5F31C6 ; -; Timing Models ; Final ; -; Delay Model ; Combined ; -; Rise/Fall Delays ; Enabled ; -+-----------------------+--------------------------------------------------------+ ++------------------------------------------------------------------------------------+ +; Timing Analyzer Summary ; ++-----------------------+------------------------------------------------------------+ +; Quartus Prime Version ; Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition ; +; Timing Analyzer ; Legacy Timing Analyzer ; +; Revision Name ; test ; +; Device Family ; Cyclone V ; +; Device Name ; 5CSEMA5F31C6 ; +; Timing Models ; Final ; +; Delay Model ; Combined ; +; Rise/Fall Delays ; Enabled ; ++-----------------------+------------------------------------------------------------+ +------------------------------------------+ @@ -99,25 +99,19 @@ https://fpgasoftware.intel.com/eula. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 12 ; -; Maximum allowed ; 12 ; +; Number detected on machine ; 6 ; +; Maximum allowed ; 6 ; ; ; ; -; Average used ; 2.88 ; -; Maximum used ; 12 ; +; Average used ; 2.32 ; +; Maximum used ; 6 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processor 2 ; 20.7% ; -; Processor 3 ; 20.6% ; -; Processor 4 ; 20.6% ; -; Processor 5 ; 15.7% ; -; Processor 6 ; 15.7% ; -; Processor 7 ; 15.7% ; -; Processor 8 ; 15.7% ; -; Processor 9 ; 15.7% ; -; Processor 10 ; 15.7% ; -; Processor 11 ; 15.7% ; -; Processor 12 ; 15.7% ; +; Processor 2 ; 29.0% ; +; Processor 3 ; 28.9% ; +; Processor 4 ; 28.8% ; +; Processor 5 ; 23.0% ; +; Processor 6 ; 22.9% ; +----------------------------+-------------+ @@ -135,7 +129,7 @@ https://fpgasoftware.intel.com/eula. +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 138.03 MHz ; 138.03 MHz ; clk_i ; ; +; 127.67 MHz ; 127.67 MHz ; clk_i ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -151,7 +145,7 @@ HTML report is unavailable in plain text report export. +-------+--------+--------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+--------------------+ -; clk_i ; -6.245 ; -8452.469 ; +; clk_i ; -6.833 ; -17075.700 ; +-------+--------+--------------------+ @@ -160,7 +154,7 @@ HTML report is unavailable in plain text report export. +-------+-------+--------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+--------------------+ -; clk_i ; 0.291 ; 0.000 ; +; clk_i ; 0.311 ; 0.000 ; +-------+-------+--------------------+ @@ -169,7 +163,7 @@ HTML report is unavailable in plain text report export. +-------+--------+-----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+-----------------------+ -; clk_i ; -2.190 ; -1698.659 ; +; clk_i ; -2.345 ; -2043.985 ; +-------+--------+-----------------------+ @@ -178,7 +172,7 @@ HTML report is unavailable in plain text report export. +-------+-------+-----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+-----------------------+ -; clk_i ; 1.111 ; 0.000 ; +; clk_i ; 1.258 ; 0.000 ; +-------+-------+-----------------------+ @@ -187,7 +181,7 @@ HTML report is unavailable in plain text report export. +-------+--------+----------------------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+----------------------------------+ -; clk_i ; -2.636 ; -5133.297 ; +; clk_i ; -2.636 ; -11832.176 ; +-------+--------+----------------------------------+ @@ -203,7 +197,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +------------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +------------+-----------------+------------+------+ -; 137.31 MHz ; 137.31 MHz ; clk_i ; ; +; 131.84 MHz ; 131.84 MHz ; clk_i ; ; +------------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. @@ -213,7 +207,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+-------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+-------------------+ -; clk_i ; -6.283 ; -8236.370 ; +; clk_i ; -6.585 ; -16485.497 ; +-------+--------+-------------------+ @@ -222,7 +216,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+-------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+-------------------+ -; clk_i ; 0.259 ; 0.000 ; +; clk_i ; 0.297 ; 0.000 ; +-------+-------+-------------------+ @@ -231,7 +225,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+----------------------+ -; clk_i ; -2.058 ; -1561.136 ; +; clk_i ; -2.204 ; -1911.010 ; +-------+--------+----------------------+ @@ -240,7 +234,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+-------+----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+----------------------+ -; clk_i ; 1.041 ; 0.000 ; +; clk_i ; 1.200 ; 0.000 ; +-------+-------+----------------------+ @@ -249,7 +243,7 @@ This panel reports FMAX for every clock in the design, regardless of the user-sp +-------+--------+---------------------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------------------------+ -; clk_i ; -2.636 ; -5032.980 ; +; clk_i ; -2.636 ; -11724.508 ; +-------+--------+---------------------------------+ @@ -265,7 +259,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+--------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+--------------------+ -; clk_i ; -3.372 ; -4098.850 ; +; clk_i ; -3.700 ; -8810.139 ; +-------+--------+--------------------+ @@ -274,7 +268,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+-------+--------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+--------------------+ -; clk_i ; 0.173 ; 0.000 ; +; clk_i ; 0.177 ; 0.000 ; +-------+-------+--------------------+ @@ -283,7 +277,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+-----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+-----------------------+ -; clk_i ; -1.663 ; -1319.442 ; +; clk_i ; -1.758 ; -1543.573 ; +-------+--------+-----------------------+ @@ -292,7 +286,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+-------+-----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+-----------------------+ -; clk_i ; 1.338 ; 0.000 ; +; clk_i ; 1.394 ; 0.000 ; +-------+-------+-----------------------+ @@ -301,14 +295,19 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+----------------------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+----------------------------------+ -; clk_i ; -2.636 ; -4834.894 ; +; clk_i ; -2.636 ; -11600.323 ; +-------+--------+----------------------------------+ ----------------------------------------------- ; Fast 1100mV 85C Model Metastability Summary ; ----------------------------------------------- -Design MTBF is not calculated because the design doesn't meet its timing requirements. +The design MTBF is not calculated because there are no specified synchronizers in the design. +Number of Synchronizer Chains Found: 1 +Shortest Synchronizer Chain: 2 Registers +Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 +Worst Case Available Settling Time: 0.341 ns + @@ -317,7 +316,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+-------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+-------------------+ -; clk_i ; -3.042 ; -3547.209 ; +; clk_i ; -3.221 ; -7540.658 ; +-------+--------+-------------------+ @@ -326,7 +325,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+-------+-------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+-------------------+ -; clk_i ; 0.141 ; 0.000 ; +; clk_i ; 0.153 ; 0.000 ; +-------+-------+-------------------+ @@ -335,7 +334,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+----------------------+ -; clk_i ; -1.547 ; -1234.293 ; +; clk_i ; -1.626 ; -1449.207 ; +-------+--------+----------------------+ @@ -344,7 +343,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+-------+----------------------+ ; Clock ; Slack ; End Point TNS ; +-------+-------+----------------------+ -; clk_i ; 1.335 ; 0.000 ; +; clk_i ; 1.398 ; 0.000 ; +-------+-------+----------------------+ @@ -353,27 +352,32 @@ Design MTBF is not calculated because the design doesn't meet its timing require +-------+--------+---------------------------------+ ; Clock ; Slack ; End Point TNS ; +-------+--------+---------------------------------+ -; clk_i ; -2.636 ; -5025.291 ; +; clk_i ; -2.636 ; -11893.930 ; +-------+--------+---------------------------------+ ---------------------------------------------- ; Fast 1100mV 0C Model Metastability Summary ; ---------------------------------------------- -Design MTBF is not calculated because the design doesn't meet its timing requirements. +The design MTBF is not calculated because there are no specified synchronizers in the design. +Number of Synchronizer Chains Found: 1 +Shortest Synchronizer Chain: 2 Registers +Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 +Worst Case Available Settling Time: 0.437 ns -+----------------------------------------------------------------------------------+ -; Multicorner Timing Analysis Summary ; -+------------------+-----------+-------+-----------+---------+---------------------+ -; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; -+------------------+-----------+-------+-----------+---------+---------------------+ -; Worst-case Slack ; -6.283 ; 0.141 ; -2.190 ; 1.041 ; -2.636 ; -; clk_i ; -6.283 ; 0.141 ; -2.190 ; 1.041 ; -2.636 ; -; Design-wide TNS ; -8452.469 ; 0.0 ; -1698.659 ; 0.0 ; -5133.297 ; -; clk_i ; -8452.469 ; 0.000 ; -1698.659 ; 0.000 ; -5133.297 ; -+------------------+-----------+-------+-----------+---------+---------------------+ + ++-----------------------------------------------------------------------------------+ +; Multicorner Timing Analysis Summary ; ++------------------+------------+-------+-----------+---------+---------------------+ +; Clock ; Setup ; Hold ; Recovery ; Removal ; Minimum Pulse Width ; ++------------------+------------+-------+-----------+---------+---------------------+ +; Worst-case Slack ; -6.833 ; 0.153 ; -2.345 ; 1.200 ; -2.636 ; +; clk_i ; -6.833 ; 0.153 ; -2.345 ; 1.200 ; -2.636 ; +; Design-wide TNS ; -17075.7 ; 0.0 ; -2043.985 ; 0.0 ; -11893.93 ; +; clk_i ; -17075.700 ; 0.000 ; -2043.985 ; 0.000 ; -11893.930 ; ++------------------+------------+-------+-----------+---------+---------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -477,7 +481,7 @@ Design MTBF is not calculated because the design doesn't meet its timing require +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; clk_i ; clk_i ; 172401 ; 0 ; 0 ; 8 ; +; clk_i ; clk_i ; 419501 ; 0 ; 0 ; 8 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -487,7 +491,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; clk_i ; clk_i ; 172401 ; 0 ; 0 ; 8 ; +; clk_i ; clk_i ; 419501 ; 0 ; 0 ; 8 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -497,7 +501,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; clk_i ; clk_i ; 0 ; 997 ; 0 ; 0 ; +; clk_i ; clk_i ; 0 ; 1039 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -507,7 +511,7 @@ Entries labeled "false path" only account for clock-to-clock false paths and not +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ -; clk_i ; clk_i ; 0 ; 997 ; 0 ; 0 ; +; clk_i ; clk_i ; 0 ; 1039 ; 0 ; 0 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. @@ -532,7 +536,7 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 2 ; 2 ; -; Unconstrained Input Port Paths ; 8 ; 8 ; +; Unconstrained Input Port Paths ; 7 ; 7 ; ; Unconstrained Output Ports ; 9 ; 9 ; ; Unconstrained Output Port Paths ; 9 ; 9 ; +---------------------------------+-------+------+ @@ -606,8 +610,8 @@ No non-DPA dedicated SERDES Receiver circuitry present in device or used in desi +--------------------------+ Info: ******************************************************************* Info: Running Quartus Prime Timing Analyzer - Info: Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition - Info: Processing started: Wed Mar 8 10:31:08 2023 + Info: Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition + Info: Processing started: Wed Mar 8 15:27:45 2023 Info: Command: quartus_sta bootloarder_1 -c test Info: qsta_default_script.tcl version: #1 Warning (20013): Ignored 24 assignments for entity "gfsdg" -- entity does not exist in design @@ -636,7 +640,7 @@ Warning (20013): Ignored 24 assignments for entity "gfsdg" -- entity does not ex Warning (20014): Assignment for entity set_global_assignment -name PARTITION_EXTRACT_HARD_BLOCK_NODES ON -entity gfsdg -section_id Top was ignored Warning (20014): Assignment for entity set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION OFF -entity gfsdg -section_id Top was ignored Warning (18236): Number of processors has not been specified which may cause overloading on shared machines. Set the global assignment NUM_PARALLEL_PROCESSORS in your QSF to an appropriate value for best performance. -Info (20030): Parallel compilation is enabled and will use 12 of the 12 processors detected +Info (20030): Parallel compilation is enabled and will use 6 of the 6 processors detected Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C Critical Warning (332012): Synopsys Design Constraints File file not found: 'test.sdc'. A Synopsys Design Constraints File is required by the Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design. @@ -649,26 +653,26 @@ Info: Found TIMING_ANALYZER_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON Info: Analyzing Slow 1100mV 85C Model Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. -Info (332146): Worst-case setup slack is -6.245 +Info (332146): Worst-case setup slack is -6.833 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -6.245 -8452.469 clk_i -Info (332146): Worst-case hold slack is 0.291 + Info (332119): -6.833 -17075.700 clk_i +Info (332146): Worst-case hold slack is 0.311 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.291 0.000 clk_i -Info (332146): Worst-case recovery slack is -2.190 + Info (332119): 0.311 0.000 clk_i +Info (332146): Worst-case recovery slack is -2.345 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.190 -1698.659 clk_i -Info (332146): Worst-case removal slack is 1.111 + Info (332119): -2.345 -2043.985 clk_i +Info (332146): Worst-case removal slack is 1.258 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.111 0.000 clk_i + Info (332119): 1.258 0.000 clk_i Info (332146): Worst-case minimum pulse width slack is -2.636 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.636 -5133.297 clk_i + Info (332119): -2.636 -11832.176 clk_i Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Design MTBF is not calculated because the design doesn't meet its timing requirements. Info: Analyzing Slow 1100mV 0C Model @@ -677,26 +681,26 @@ Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. -Info (332146): Worst-case setup slack is -6.283 +Info (332146): Worst-case setup slack is -6.585 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -6.283 -8236.370 clk_i -Info (332146): Worst-case hold slack is 0.259 + Info (332119): -6.585 -16485.497 clk_i +Info (332146): Worst-case hold slack is 0.297 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.259 0.000 clk_i -Info (332146): Worst-case recovery slack is -2.058 + Info (332119): 0.297 0.000 clk_i +Info (332146): Worst-case recovery slack is -2.204 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.058 -1561.136 clk_i -Info (332146): Worst-case removal slack is 1.041 + Info (332119): -2.204 -1911.010 clk_i +Info (332146): Worst-case removal slack is 1.200 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.041 0.000 clk_i + Info (332119): 1.200 0.000 clk_i Info (332146): Worst-case minimum pulse width slack is -2.636 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.636 -5032.980 clk_i + Info (332119): -2.636 -11724.508 clk_i Info (332114): Report Metastability: Found 1 synchronizer chains. Info (332114): Design MTBF is not calculated because the design doesn't meet its timing requirements. Info: Analyzing Fast 1100mV 85C Model @@ -705,60 +709,70 @@ Info (334004): Delay annotation completed successfully Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. -Info (332146): Worst-case setup slack is -3.372 +Info (332146): Worst-case setup slack is -3.700 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -3.372 -4098.850 clk_i -Info (332146): Worst-case hold slack is 0.173 + Info (332119): -3.700 -8810.139 clk_i +Info (332146): Worst-case hold slack is 0.177 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.173 0.000 clk_i -Info (332146): Worst-case recovery slack is -1.663 + Info (332119): 0.177 0.000 clk_i +Info (332146): Worst-case recovery slack is -1.758 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -1.663 -1319.442 clk_i -Info (332146): Worst-case removal slack is 1.338 + Info (332119): -1.758 -1543.573 clk_i +Info (332146): Worst-case removal slack is 1.394 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.338 0.000 clk_i + Info (332119): 1.394 0.000 clk_i Info (332146): Worst-case minimum pulse width slack is -2.636 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.636 -4834.894 clk_i + Info (332119): -2.636 -11600.323 clk_i Info (332114): Report Metastability: Found 1 synchronizer chains. - Info (332114): Design MTBF is not calculated because the design doesn't meet its timing requirements. + Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. + Info (332114): Number of Synchronizer Chains Found: 1 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 + Info (332114): Worst Case Available Settling Time: 0.341 ns + Info (332114): Info: Analyzing Fast 1100mV 0C Model Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in the Timing Analyzer to see clock uncertainties. Critical Warning (332148): Timing requirements not met Info (11105): For recommendations on closing timing, run Report Timing Closure Recommendations in the Timing Analyzer. -Info (332146): Worst-case setup slack is -3.042 +Info (332146): Worst-case setup slack is -3.221 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -3.042 -3547.209 clk_i -Info (332146): Worst-case hold slack is 0.141 + Info (332119): -3.221 -7540.658 clk_i +Info (332146): Worst-case hold slack is 0.153 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 0.141 0.000 clk_i -Info (332146): Worst-case recovery slack is -1.547 + Info (332119): 0.153 0.000 clk_i +Info (332146): Worst-case recovery slack is -1.626 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -1.547 -1234.293 clk_i -Info (332146): Worst-case removal slack is 1.335 + Info (332119): -1.626 -1449.207 clk_i +Info (332146): Worst-case removal slack is 1.398 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): 1.335 0.000 clk_i + Info (332119): 1.398 0.000 clk_i Info (332146): Worst-case minimum pulse width slack is -2.636 Info (332119): Slack End Point TNS Clock Info (332119): ========= =================== ===================== - Info (332119): -2.636 -5025.291 clk_i + Info (332119): -2.636 -11893.930 clk_i Info (332114): Report Metastability: Found 1 synchronizer chains. - Info (332114): Design MTBF is not calculated because the design doesn't meet its timing requirements. + Info (332114): The design MTBF is not calculated because there are no specified synchronizers in the design. + Info (332114): Number of Synchronizer Chains Found: 1 + Info (332114): Shortest Synchronizer Chain: 2 Registers + Info (332114): Fraction of Chains for which MTBFs Could Not be Calculated: 1.000 + Info (332114): Worst Case Available Settling Time: 0.437 ns + Info (332114): Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus Prime Timing Analyzer was successful. 0 errors, 31 warnings - Info: Peak virtual memory: 997 megabytes - Info: Processing ended: Wed Mar 8 10:31:14 2023 - Info: Elapsed time: 00:00:06 - Info: Total CPU time (on all processors): 00:00:14 + Info: Peak virtual memory: 958 megabytes + Info: Processing ended: Wed Mar 8 15:27:53 2023 + Info: Elapsed time: 00:00:08 + Info: Total CPU time (on all processors): 00:00:16 diff --git a/proj_quartus/output_files/test.sta.summary b/proj_quartus/output_files/test.sta.summary index ee807c583..d18c2281b 100644 --- a/proj_quartus/output_files/test.sta.summary +++ b/proj_quartus/output_files/test.sta.summary @@ -3,83 +3,83 @@ Timing Analyzer Summary ------------------------------------------------------------ Type : Slow 1100mV 85C Model Setup 'clk_i' -Slack : -6.245 -TNS : -8452.469 +Slack : -6.833 +TNS : -17075.700 Type : Slow 1100mV 85C Model Hold 'clk_i' -Slack : 0.291 +Slack : 0.311 TNS : 0.000 Type : Slow 1100mV 85C Model Recovery 'clk_i' -Slack : -2.190 -TNS : -1698.659 +Slack : -2.345 +TNS : -2043.985 Type : Slow 1100mV 85C Model Removal 'clk_i' -Slack : 1.111 +Slack : 1.258 TNS : 0.000 Type : Slow 1100mV 85C Model Minimum Pulse Width 'clk_i' Slack : -2.636 -TNS : -5133.297 +TNS : -11832.176 Type : Slow 1100mV 0C Model Setup 'clk_i' -Slack : -6.283 -TNS : -8236.370 +Slack : -6.585 +TNS : -16485.497 Type : Slow 1100mV 0C Model Hold 'clk_i' -Slack : 0.259 +Slack : 0.297 TNS : 0.000 Type : Slow 1100mV 0C Model Recovery 'clk_i' -Slack : -2.058 -TNS : -1561.136 +Slack : -2.204 +TNS : -1911.010 Type : Slow 1100mV 0C Model Removal 'clk_i' -Slack : 1.041 +Slack : 1.200 TNS : 0.000 Type : Slow 1100mV 0C Model Minimum Pulse Width 'clk_i' Slack : -2.636 -TNS : -5032.980 +TNS : -11724.508 Type : Fast 1100mV 85C Model Setup 'clk_i' -Slack : -3.372 -TNS : -4098.850 +Slack : -3.700 +TNS : -8810.139 Type : Fast 1100mV 85C Model Hold 'clk_i' -Slack : 0.173 +Slack : 0.177 TNS : 0.000 Type : Fast 1100mV 85C Model Recovery 'clk_i' -Slack : -1.663 -TNS : -1319.442 +Slack : -1.758 +TNS : -1543.573 Type : Fast 1100mV 85C Model Removal 'clk_i' -Slack : 1.338 +Slack : 1.394 TNS : 0.000 Type : Fast 1100mV 85C Model Minimum Pulse Width 'clk_i' Slack : -2.636 -TNS : -4834.894 +TNS : -11600.323 Type : Fast 1100mV 0C Model Setup 'clk_i' -Slack : -3.042 -TNS : -3547.209 +Slack : -3.221 +TNS : -7540.658 Type : Fast 1100mV 0C Model Hold 'clk_i' -Slack : 0.141 +Slack : 0.153 TNS : 0.000 Type : Fast 1100mV 0C Model Recovery 'clk_i' -Slack : -1.547 -TNS : -1234.293 +Slack : -1.626 +TNS : -1449.207 Type : Fast 1100mV 0C Model Removal 'clk_i' -Slack : 1.335 +Slack : 1.398 TNS : 0.000 Type : Fast 1100mV 0C Model Minimum Pulse Width 'clk_i' Slack : -2.636 -TNS : -5025.291 +TNS : -11893.930 ------------------------------------------------------------ diff --git a/proj_quartus/simulation/questa/test.vo b/proj_quartus/simulation/questa/test.vo index 88174ed8f..f8648e13a 100644 --- a/proj_quartus/simulation/questa/test.vo +++ b/proj_quartus/simulation/questa/test.vo @@ -15,9 +15,9 @@ // VENDOR "Altera" // PROGRAM "Quartus Prime" -// VERSION "Version 22.1std.0 Build 915 10/25/2022 SC Lite Edition" +// VERSION "Version 22.1std.0 Build 915 10/25/2022 SC Standard Edition" -// DATE "03/08/2023 10:31:16" +// DATE "03/08/2023 15:27:55" // // Device: Altera 5CSEMA5F31C6 Package FBGA896 @@ -71,418 +71,585 @@ wire \clk_i~input_o ; wire \clk_i~inputCLKENA0_outclk ; wire \neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ; wire \rstn_i~input_o ; -wire \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ; wire \neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ; -wire \neorv32_top_inst|rstn_int_sreg[3]~feeder_combout ; -wire \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ; +wire \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ; wire \neorv32_top_inst|tmp_v~0_combout ; wire \neorv32_top_inst|rstn_int~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder_combout ; -wire \~GND~combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ; +wire \~GND~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ; -wire \uart0_rxd_i~input_o ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ; -wire \neorv32_top_inst|Add0~29_sumout ; -wire \neorv32_top_inst|clk_gen_en_ff~q ; -wire \neorv32_top_inst|Add0~30 ; -wire \neorv32_top_inst|Add0~25_sumout ; -wire \neorv32_top_inst|Add0~26 ; -wire \neorv32_top_inst|Add0~33_sumout ; -wire \neorv32_top_inst|Add0~34 ; -wire \neorv32_top_inst|Add0~45_sumout ; -wire \neorv32_top_inst|Add0~46 ; -wire \neorv32_top_inst|Add0~41_sumout ; -wire \neorv32_top_inst|Add0~42 ; -wire \neorv32_top_inst|Add0~21_sumout ; -wire \neorv32_top_inst|Add0~22 ; -wire \neorv32_top_inst|Add0~9_sumout ; -wire \neorv32_top_inst|Add0~10 ; -wire \neorv32_top_inst|Add0~37_sumout ; -wire \neorv32_top_inst|Add0~38 ; -wire \neorv32_top_inst|Add0~17_sumout ; -wire \neorv32_top_inst|Add0~18 ; -wire \neorv32_top_inst|Add0~1_sumout ; -wire \neorv32_top_inst|Add0~2 ; -wire \neorv32_top_inst|Add0~13_sumout ; -wire \neorv32_top_inst|clk_div[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 ; -wire \neorv32_top_inst|rdata_v~48_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ; -wire \neorv32_top_inst|rdata_v~49_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ; +wire \uart0_rxd_i~input_o ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ; +wire \neorv32_top_inst|io_rden~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ; +wire \neorv32_top_inst|rdata_v~80_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ; +wire \neorv32_top_inst|rdata_v~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ; +wire \neorv32_top_inst|rdata_v~59_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 ; +wire \neorv32_top_inst|rdata_v~67_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|rdata_v~68_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 ; -wire \neorv32_top_inst|rdata_v~46_combout ; -wire \neorv32_top_inst|rdata_v~47_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 ; -wire \neorv32_top_inst|rdata_v~7_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|rdata_v~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ; @@ -506,18 +673,15 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ; @@ -526,158 +690,54 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ; @@ -693,7 +753,6 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ; @@ -704,6 +763,7 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ; @@ -712,8 +772,7 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ; @@ -724,21 +783,23 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout ; @@ -746,7 +807,6 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout ; @@ -756,13 +816,18 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout ; @@ -794,13 +859,16 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ; @@ -849,12 +917,15 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ; @@ -886,750 +957,440 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|rdata_v~68_combout ; -wire \neorv32_top_inst|rdata_v~69_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ; @@ -1639,6 +1400,7 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ; @@ -1654,13 +1416,11 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ; @@ -1673,10 +1433,8 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ; @@ -1689,201 +1447,336 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ; +wire \neorv32_top_inst|rdata_v~71_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|rdata_v~72_combout ; +wire \neorv32_top_inst|rdata_v~73_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ; +wire \neorv32_top_inst|io_wren~2_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ; +wire \neorv32_top_inst|rdata_v~69_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|rdata_v~70_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ; +wire \neorv32_top_inst|rdata_v~39_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|rdata_v~40_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout ; +wire \neorv32_top_inst|rdata_v~60_combout ; +wire \neorv32_top_inst|rdata_v~61_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ; +wire \neorv32_top_inst|rdata_v~11_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|rdata_v~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|rdata_v~22_combout ; +wire \neorv32_top_inst|rdata_v~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ; @@ -1893,445 +1786,814 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ; -wire \neorv32_top_inst|rdata_v~18_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|rdata_v~23_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 ; -wire \neorv32_top_inst|rdata_v~54_combout ; -wire \neorv32_top_inst|rdata_v~55_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ; -wire \neorv32_top_inst|Add0~14 ; -wire \neorv32_top_inst|Add0~5_sumout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|rdata_v~25_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7~portadataout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ; -wire \neorv32_top_inst|rdata_v~64_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|rdata_v~16_combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ; wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ; -wire \neorv32_top_inst|rdata_v~20_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|rdata_v~21_combout ; +wire \neorv32_top_inst|rdata_v~79_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|rdata_v~48_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ; +wire \neorv32_top_inst|rdata_v~49_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 ; -wire \neorv32_top_inst|rdata_v~29_combout ; -wire \neorv32_top_inst|rdata_v~30_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ; +wire \neorv32_top_inst|rdata_v~26_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|rdata_v~27_combout ; +wire \neorv32_top_inst|rdata_v~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout ; +wire \neorv32_top_inst|Equal0~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ; +wire \neorv32_top_inst|Equal0~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ; +wire \neorv32_top_inst|Equal0~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ; +wire \neorv32_top_inst|Equal0~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43_combout ; +wire \neorv32_top_inst|Equal0~11_combout ; +wire \neorv32_top_inst|io_rden~combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ; wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ; -wire \neorv32_top_inst|rdata_v~38_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ; -wire \neorv32_top_inst|rdata_v~39_combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ; +wire \neorv32_top_inst|rdata_v~53_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|rdata_v~54_combout ; +wire \neorv32_top_inst|rdata_v~55_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ; -wire \neorv32_top_inst|rdata_v~19_combout ; -wire \neorv32_top_inst|rdata_v~70_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 ; +wire \neorv32_top_inst|rdata_v~43_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|rdata_v~44_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ; -wire \neorv32_top_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ; -wire \neorv32_top_inst|Equal0~2_combout ; -wire \neorv32_top_inst|Equal0~4_combout ; -wire \neorv32_top_inst|Equal0~5_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ; -wire \neorv32_top_inst|rdata_v~24_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ; -wire \neorv32_top_inst|rdata_v~58_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|rdata_v~59_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 ; -wire \neorv32_top_inst|rdata_v~40_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|rdata_v~41_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0_combout ; +wire \neorv32_top_inst|rdata_v~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ; +wire \neorv32_top_inst|rdata_v~35_combout ; +wire \neorv32_top_inst|rdata_v~36_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout ; +wire \neorv32_top_inst|Equal0~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout ; +wire \neorv32_top_inst|Equal0~4_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ; +wire \neorv32_top_inst|Equal0~2_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout ; +wire \neorv32_top_inst|Equal0~3_combout ; +wire \neorv32_top_inst|Equal0~5_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|rdata_v~1_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ; +wire \neorv32_top_inst|rdata_v~0_combout ; +wire \neorv32_top_inst|rdata_v~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ; @@ -2339,16 +2601,18 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ; @@ -2356,44 +2620,78 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 ; -wire \neorv32_top_inst|rdata_v~31_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ; -wire \neorv32_top_inst|rdata_v~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ; +wire \neorv32_top_inst|rdata_v~33_combout ; +wire \neorv32_top_inst|rdata_v~34_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ; wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ; wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 ; -wire \neorv32_top_inst|rdata_v~33_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|rdata_v~34_combout ; +wire \neorv32_top_inst|rdata_v~62_combout ; +wire \neorv32_top_inst|rdata_v~63_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ; @@ -2401,205 +2699,328 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|rdata_v~19_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout ; +wire \neorv32_top_inst|rdata_v~51_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 ; -wire \neorv32_top_inst|rdata_v~9_combout ; -wire \neorv32_top_inst|rdata_v~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q ; wire \neorv32_top_inst|io_wren~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout ; -wire \neorv32_top_inst|Equal0~3_combout ; +wire \neorv32_top_inst|io_wren~1_combout ; wire \neorv32_top_inst|io_wren~combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ; +wire \neorv32_top_inst|ack_v~0_combout ; +wire \neorv32_top_inst|ack_v~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8~portadataout ; +wire \neorv32_top_inst|rdata_v~31_combout ; +wire \neorv32_top_inst|rdata_v~32_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 ; +wire \neorv32_top_inst|rdata_v~50_combout ; +wire \neorv32_top_inst|rdata_v~52_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6~portadataout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ; +wire \neorv32_top_inst|rdata_v~74_combout ; +wire \neorv32_top_inst|rdata_v~75_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 ; -wire \neorv32_top_inst|rdata_v~22_combout ; -wire \neorv32_top_inst|rdata_v~26_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 ; -wire \neorv32_top_inst|rdata_v~16_combout ; -wire \neorv32_top_inst|rdata_v~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout ; -wire \neorv32_top_inst|Equal0~1_combout ; -wire \neorv32_top_inst|io_rden~combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ; -wire \neorv32_top_inst|rdata_v~42_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ; -wire \neorv32_top_inst|rdata_v~43_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder_combout ; +wire \neorv32_top_inst|rdata_v~13_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|rdata_v~14_combout ; +wire \neorv32_top_inst|rdata_v~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ; +wire \neorv32_top_inst|Equal0~6_combout ; +wire \neorv32_top_inst|Equal0~7_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ; wire \neorv32_top_inst|rdata_v~56_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ; wire \neorv32_top_inst|rdata_v~57_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1_combout ; +wire \neorv32_top_inst|rdata_v~58_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ; +wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ; +wire \neorv32_top_inst|rdata_v~18_combout ; +wire \neorv32_top_inst|rdata_v~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ; @@ -2607,685 +3028,630 @@ wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muld wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 ; -wire \neorv32_top_inst|rdata_v~50_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|rdata_v~51_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 ; +wire \neorv32_top_inst|rdata_v~41_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|rdata_v~42_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ; -wire \neorv32_top_inst|ack_v~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 ; -wire \neorv32_top_inst|rdata_v~44_combout ; -wire \neorv32_top_inst|rdata_v~45_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 ; -wire \neorv32_top_inst|rdata_v~27_combout ; -wire \neorv32_top_inst|rdata_v~28_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~6 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ; -wire \neorv32_top_inst|io_rden~0_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ; +wire \neorv32_top_inst|rdata_v~45_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|rdata_v~46_combout ; +wire \neorv32_top_inst|rdata_v~47_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ; -wire \neorv32_top_inst|rdata_v~2_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 ; wire \neorv32_top_inst|rdata_v~3_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ; wire \neorv32_top_inst|rdata_v~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ; -wire \neorv32_top_inst|ack_v~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ; +wire \neorv32_top_inst|rdata_v~8_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|rdata_v~9_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 ; +wire \neorv32_top_inst|rdata_v~10_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ; -wire \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ; +wire \neorv32_top_inst|rdata_v~37_combout ; +wire \neorv32_top_inst|rdata_v~38_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ; +wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ; +wire \neorv32_top_inst|rdata_v~76_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|rdata_v~77_combout ; +wire \neorv32_top_inst|rdata_v~78_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ; +wire \neorv32_top_inst|Add0~29_sumout ; +wire \neorv32_top_inst|clk_gen_en_ff~q ; +wire \neorv32_top_inst|Add0~30 ; +wire \neorv32_top_inst|Add0~25_sumout ; +wire \neorv32_top_inst|Add0~26 ; +wire \neorv32_top_inst|Add0~33_sumout ; +wire \neorv32_top_inst|Add0~34 ; +wire \neorv32_top_inst|Add0~45_sumout ; +wire \neorv32_top_inst|Add0~46 ; +wire \neorv32_top_inst|Add0~41_sumout ; +wire \neorv32_top_inst|Add0~42 ; +wire \neorv32_top_inst|Add0~21_sumout ; +wire \neorv32_top_inst|Add0~22 ; +wire \neorv32_top_inst|Add0~9_sumout ; +wire \neorv32_top_inst|Add0~10 ; +wire \neorv32_top_inst|Add0~37_sumout ; +wire \neorv32_top_inst|Add0~38 ; +wire \neorv32_top_inst|Add0~17_sumout ; +wire \neorv32_top_inst|Add0~18 ; +wire \neorv32_top_inst|Add0~1_sumout ; +wire \neorv32_top_inst|Add0~2 ; +wire \neorv32_top_inst|Add0~13_sumout ; +wire \neorv32_top_inst|Add0~14 ; +wire \neorv32_top_inst|Add0~5_sumout ; +wire \neorv32_top_inst|clk_div[11]~DUPLICATE_q ; +wire \neorv32_top_inst|clk_div[0]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ; +wire \neorv32_top_inst|rdata_v~24_combout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout ; +wire \neorv32_top_inst|rdata_v~25_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ; wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2~portadataout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|rdata_v~66_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ; -wire \neorv32_top_inst|rdata_v~65_combout ; -wire \neorv32_top_inst|rdata_v~67_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ; wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 ; -wire \neorv32_top_inst|rdata_v~36_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ; -wire \neorv32_top_inst|rdata_v~37_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ; -wire \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ; -wire \neorv32_top_inst|rdata_v~52_combout ; -wire \neorv32_top_inst|rdata_v~53_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ; wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ; wire \neorv32_top_inst|rdata_v~5_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ; wire \neorv32_top_inst|rdata_v~6_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ; -wire \neorv32_top_inst|rdata_v~35_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout ; -wire \neorv32_top_inst|rdata_v~60_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ; -wire \neorv32_top_inst|rdata_v~61_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ; +wire \neorv32_top_inst|rdata_v~7_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ; +wire \neorv32_top_inst|rdata_v~64_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout ; +wire \neorv32_top_inst|rdata_v~65_combout ; +wire \neorv32_top_inst|rdata_v~66_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ; wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder_combout ; -wire \neorv32_top_inst|rdata_v~0_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ; -wire \neorv32_top_inst|rdata_v~1_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ; +wire \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ; wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 ; -wire \neorv32_top_inst|rdata_v~14_combout ; -wire \neorv32_top_inst|rdata_v~15_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ; -wire \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder_combout ; -wire \neorv32_top_inst|rdata_v~62_combout ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ; -wire \neorv32_top_inst|rdata_v~63_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder_combout ; -wire \neorv32_top_inst|rdata_v~11_combout ; -wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 ; -wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ; -wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ; -wire \neorv32_top_inst|rdata_v~12_combout ; -wire \neorv32_top_inst|rdata_v~13_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ; +wire \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ; +wire \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ; +wire \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 ; +wire \neorv32_top_inst|rdata_v~29_combout ; +wire \neorv32_top_inst|rdata_v~30_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5_combout ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ; +wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ; wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ; -wire \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder_combout ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE_q ; -wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE_q ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder_combout ; +wire \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder_combout ; +wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder_combout ; -wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE_q ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0_combout ; wire \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~q ; +wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata ; wire [0:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl ; wire [4:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync ; -wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata ; -wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl ; -wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval ; -wire [7:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc ; -wire [1:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf ; +wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl ; +wire [3:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt ; +wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt ; +wire [5:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause ; +wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle ; +wire [11:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt ; +wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata ; -wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt ; -wire [5:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig ; +wire [2:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff ; +wire [1:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient ; wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt ; -wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o ; -wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc ; -wire [10:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg ; -wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret ; +wire [3:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o ; +wire [7:0] \neorv32_top_inst|clk_gen ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc ; +wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt ; +wire [11:0] \neorv32_top_inst|clk_div_ff ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o ; +wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi ; +wire [3:0] \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b ; -wire [5:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder ; -wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt ; wire [3:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt ; -wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle ; -wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo ; -wire [11:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt ; -wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o ; -wire [2:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg ; -wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh ; -wire [11:0] \neorv32_top_inst|clk_div ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc ; -wire [3:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt ; -wire [11:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt ; -wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o ; -wire [63:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout ; -wire [63:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod ; -wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt ; +wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o ; +wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt ; +wire [68:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth ; +wire [63:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar ; -wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf ; -wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval ; +wire [4:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt ; +wire [10:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg ; wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o ; -wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl ; +wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo ; +wire [31:0] \neorv32_top_inst|neorv32_bus_keeper_inst|data_o ; +wire [11:0] \neorv32_top_inst|clk_div ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg ; +wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf ; +wire [11:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o ; +wire [3:0] \neorv32_top_inst|rstn_int_sreg ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc ; +wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo ; +wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o ; +wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt ; +wire [15:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr ; wire [31:0] \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi ; -wire [10:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf ; -wire [17:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf ; -wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re ; -wire [17:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf ; -wire [2:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff ; -wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf ; -wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux ; +wire [11:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata ; -wire [3:0] \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout ; wire [4:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt ; -wire [0:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt ; -wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux ; -wire [31:0] \neorv32_top_inst|neorv32_bus_keeper_inst|data_o ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret ; -wire [3:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o ; -wire [3:0] \neorv32_top_inst|rstn_int_sreg ; +wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf ; wire [31:0] \neorv32_top_inst|neorv32_sysinfo_inst|data_o ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last ; +wire [68:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt ; wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch ; -wire [4:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt ; -wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd ; -wire [20:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec ; -wire [7:0] \neorv32_top_inst|clk_gen ; +wire [31:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl ; +wire [31:0] \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi ; wire [15:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq ; -wire [11:0] \neorv32_top_inst|clk_div_ff ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc ; +wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret ; +wire [1:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle ; wire [6:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause ; -wire [31:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o ; -wire [15:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr ; +wire [9:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg ; wire [1:0] \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf ; +wire [0:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl ; wire [39:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; wire [39:0] \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; -wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus ; -wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2_PORTADATAOUT_bus ; -wire [1:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; -wire [4:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [9:0] \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6_PORTADATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; +wire [0:0] \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; @@ -3353,133 +3719,173 @@ assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][3 assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [30]; assign \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus [31]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [4]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [5]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [6]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [7]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [8]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [9]; - assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [0]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [3]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [4]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [5]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [6]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [7]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [8]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus [9]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; + +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [0]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [3]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [4]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [5]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [6]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [7]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [8]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus [9]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus [4]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; - -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [4]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [5]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [6]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [7]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [8]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus [9]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [1]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [2]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [3]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [4]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [5]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [6]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [7]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [8]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [9]; - -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2_PORTADATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2_PORTADATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [3]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [4]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [5]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [6]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [7]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [8]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus [9]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [1]; +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [0]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [1]; -assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus [2]; +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6~portadataout = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6_PORTADATAOUT_bus [0]; +assign \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 = \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6_PORTADATAOUT_bus [1]; + +assign \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; + +assign \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout = \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus [0]; // Location: IOOBUF_X89_Y6_N5 cyclonev_io_obuf \gpio_o[0]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [0]), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3495,7 +3901,7 @@ defparam \gpio_o[0]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X84_Y0_N2 cyclonev_io_obuf \gpio_o[1]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE_q ), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3511,7 +3917,7 @@ defparam \gpio_o[1]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X80_Y0_N19 cyclonev_io_obuf \gpio_o[2]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE_q ), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE_q ), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3527,7 +3933,7 @@ defparam \gpio_o[2]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X60_Y0_N19 cyclonev_io_obuf \gpio_o[3]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [3]), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3543,7 +3949,7 @@ defparam \gpio_o[3]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X80_Y0_N2 cyclonev_io_obuf \gpio_o[4]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE_q ), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3559,7 +3965,7 @@ defparam \gpio_o[4]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X60_Y0_N2 cyclonev_io_obuf \gpio_o[5]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [5]), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3575,7 +3981,7 @@ defparam \gpio_o[5]~output .shift_series_termination_control = "false"; // Location: IOOBUF_X52_Y0_N19 cyclonev_io_obuf \gpio_o[6]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [6]), + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .oe(vcc), .dynamicterminationcontrol(gnd), .seriesterminationcontrol(16'b0000000000000000), @@ -3584,473 +3990,8103 @@ cyclonev_io_obuf \gpio_o[6]~output ( .o(gpio_o[6]), .obar()); // synopsys translate_off -defparam \gpio_o[6]~output .bus_hold = "false"; -defparam \gpio_o[6]~output .open_drain_output = "false"; -defparam \gpio_o[6]~output .shift_series_termination_control = "false"; +defparam \gpio_o[6]~output .bus_hold = "false"; +defparam \gpio_o[6]~output .open_drain_output = "false"; +defparam \gpio_o[6]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X52_Y0_N2 +cyclonev_io_obuf \gpio_o[7]~output ( + .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(16'b0000000000000000), + .parallelterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(gpio_o[7]), + .obar()); +// synopsys translate_off +defparam \gpio_o[7]~output .bus_hold = "false"; +defparam \gpio_o[7]~output .open_drain_output = "false"; +defparam \gpio_o[7]~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOOBUF_X68_Y0_N2 +cyclonev_io_obuf \uart0_txd_o~output ( + .i(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~q ), + .oe(vcc), + .dynamicterminationcontrol(gnd), + .seriesterminationcontrol(16'b0000000000000000), + .parallelterminationcontrol(16'b0000000000000000), + .devoe(devoe), + .o(uart0_txd_o), + .obar()); +// synopsys translate_off +defparam \uart0_txd_o~output .bus_hold = "false"; +defparam \uart0_txd_o~output .open_drain_output = "false"; +defparam \uart0_txd_o~output .shift_series_termination_control = "false"; +// synopsys translate_on + +// Location: IOIBUF_X32_Y0_N1 +cyclonev_io_ibuf \clk_i~input ( + .i(clk_i), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\clk_i~input_o )); +// synopsys translate_off +defparam \clk_i~input .bus_hold = "false"; +defparam \clk_i~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: CLKCTRL_G6 +cyclonev_clkena \clk_i~inputCLKENA0 ( + .inclk(\clk_i~input_o ), + .ena(vcc), + .outclk(\clk_i~inputCLKENA0_outclk ), + .enaout()); +// synopsys translate_off +defparam \clk_i~inputCLKENA0 .clock_type = "global clock"; +defparam \clk_i~inputCLKENA0 .disable_mode = "low"; +defparam \clk_i~inputCLKENA0 .ena_register_mode = "always enabled"; +defparam \clk_i~inputCLKENA0 .ena_register_power_up = "high"; +defparam \clk_i~inputCLKENA0 .test_syn = "high"; +// synopsys translate_on + +// Location: LABCELL_X51_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[0]~feeder ( +// Equation(s): +// \neorv32_top_inst|rstn_int_sreg[0]~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .lut_mask = 64'hFFFFFFFFFFFFFFFF; +defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X36_Y0_N18 +cyclonev_io_ibuf \rstn_i~input ( + .i(rstn_i), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\rstn_i~input_o )); +// synopsys translate_off +defparam \rstn_i~input .bus_hold = "false"; +defparam \rstn_i~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X51_Y17_N35 +dffeas \neorv32_top_inst|rstn_int_sreg[0] ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), + .asdata(vcc), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int_sreg [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int_sreg[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X50_Y17_N53 +dffeas \neorv32_top_inst|rstn_int_sreg[1] ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rstn_int_sreg [0]), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int_sreg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int_sreg[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[2]~feeder ( +// Equation(s): +// \neorv32_top_inst|rstn_int_sreg[2]~feeder_combout = ( \neorv32_top_inst|rstn_int_sreg [1] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rstn_int_sreg [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y17_N2 +dffeas \neorv32_top_inst|rstn_int_sreg[2] ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), + .asdata(vcc), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int_sreg [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int_sreg[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X51_Y17_N1 +dffeas \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), + .asdata(vcc), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X51_Y17_N59 +dffeas \neorv32_top_inst|rstn_int_sreg[3] ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rstn_int_sreg[2]~DUPLICATE_q ), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int_sreg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int_sreg[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int_sreg[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|tmp_v~0 ( +// Equation(s): +// \neorv32_top_inst|tmp_v~0_combout = ( \neorv32_top_inst|rstn_int_sreg [1] & ( (\neorv32_top_inst|rstn_int_sreg [2] & (\neorv32_top_inst|rstn_int_sreg [3] & \neorv32_top_inst|rstn_int_sreg [0])) ) ) + + .dataa(!\neorv32_top_inst|rstn_int_sreg [2]), + .datab(!\neorv32_top_inst|rstn_int_sreg [3]), + .datac(!\neorv32_top_inst|rstn_int_sreg [0]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rstn_int_sreg [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|tmp_v~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|tmp_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|tmp_v~0 .lut_mask = 64'h0000000001010101; +defparam \neorv32_top_inst|tmp_v~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y17_N50 +dffeas \neorv32_top_inst|rstn_int ( + .clk(!\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|tmp_v~0_combout ), + .asdata(vcc), + .clrn(\rstn_i~input_o ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|rstn_int~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|rstn_int .is_wysiwyg = "true"; +defparam \neorv32_top_inst|rstn_int .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y16_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] ) + ( VCC ) + ( !VCC )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .lut_mask = 64'h0A0AFAFA0A0AFAFA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y16_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q +// ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ) + +// ( !VCC )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .lut_mask = 64'h0000AAAA00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder_combout = VCC + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder .lut_mask = 64'hFFFFFFFFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y15_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .lut_mask = 64'h0000000000880088; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .lut_mask = 64'hFDFDFDFDFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0 .lut_mask = 64'h000000000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .lut_mask = 64'h00AE00AE00AB00AB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y15_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X52_Y17_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .lut_mask = 64'h00000000F3F3F3F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .lut_mask = 64'h000000C800000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [12] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .lut_mask = 64'hFFFF000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q +// ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .lut_mask = 64'h0F0F5F5F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y16_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[25] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout = (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0])) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .lut_mask = 64'h0AFA0AFA0AFA0AFA; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0 .lut_mask = 64'hAAF0AAF0007A007A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] ) + ( VCC ) + ( !VCC )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h0F000F000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout +// ))) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0 .lut_mask = 64'hF2F0F2F0F2F0F2F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y16_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .lut_mask = 64'h000F000F00F000F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y16_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~2_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .lut_mask = 64'h00000F0F0F0F0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~3_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1] & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .lut_mask = 64'h3FF33FF3CFFCCFFC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0 .lut_mask = 64'hAAAEAAAAAAAFAAAB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y16_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .lut_mask = 64'h000000005555AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y16_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~3_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .lut_mask = 64'h5A005A00005A005A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout = ( \neorv32_top_inst|rdata_v~30_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~30_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .lut_mask = 64'h4000400000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X36_Y16_N6 +cyclonev_lcell_comb \~GND ( +// Equation(s): +// \~GND~combout = GND + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\~GND~combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \~GND .extended_lut = "off"; +defparam \~GND .lut_mask = 64'h0000000000000000; +defparam \~GND .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y19_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ), + .asdata(\~GND~combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[39] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X57_Y16_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .lut_mask = 64'h0000000077777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y14_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & +// ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3] & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22 .lut_mask = 64'h0000000000040000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y14_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .lut_mask = 64'h0000005000000000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .lut_mask = 64'h0000000000040000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .lut_mask = 64'h0000000005AF05AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y16_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .lut_mask = 64'hFFFFFFFF0FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X55_Y15_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout = ( \neorv32_top_inst|rdata_v~47_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~47_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y12_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .lut_mask = 64'h11111111FFAA1111; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .lut_mask = 64'h0000FFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y16_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~1_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] ) + ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ci~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .lut_mask = 64'h0000555500000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y13_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .lut_mask = 64'h000A000A00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y12_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~38_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .lut_mask = 64'h5F5F5F5F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y14_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22 .lut_mask = 64'h0000000000000040; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .lut_mask = 64'h0000000000400040; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82 .lut_mask = 64'h4444444400440044; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout = \neorv32_top_inst|rdata_v~78_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|rdata_v~78_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y14_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rdata_v~15_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X50_Y19_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), + .asdata(\~GND~combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .lut_mask = 64'h0555055555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout +// & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout +// ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1 .lut_mask = 64'h0000000000800080; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X48_Y13_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~58_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X56_Y16_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X52_Y15_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .lut_mask = 64'h000F000FFF0FFF0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1])) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .lut_mask = 64'hFCCFFCCFFCCFFCCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .lut_mask = 64'hFFFFFFFFA05FA05F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X52_Y15_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .lut_mask = 64'hF8F7F8F7F0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .lut_mask = 64'hCCFFCCFFFFCCFFCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .lut_mask = 64'h000000000000A0A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[33] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y14_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~52_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X59_Y18_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y15_N4 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7] + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: IOIBUF_X64_Y0_N1 +cyclonev_io_ibuf \uart0_rxd_i~input ( + .i(uart0_rxd_i), + .ibar(gnd), + .dynamicterminationcontrol(gnd), + .o(\uart0_rxd_i~input_o )); +// synopsys translate_off +defparam \uart0_rxd_i~input .bus_hold = "false"; +defparam \uart0_rxd_i~input .simulate_z_as = "z"; +// synopsys translate_on + +// Location: FF_X40_Y14_N35 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\uart0_rxd_i~input_o ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [4] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [4]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y14_N41 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y14_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y12_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X55_Y16_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y15_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y18_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y15_N55 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout )) ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0 .lut_mask = 64'h0000000000300000; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout & ( \neorv32_top_inst|io_wren~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|Equal0~7_combout & \neorv32_top_inst|Equal0~5_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|Equal0~7_combout ), + .datad(!\neorv32_top_inst|Equal0~5_combout ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), + .dataf(!\neorv32_top_inst|io_wren~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y17_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout & ( \neorv32_top_inst|Equal0~5_combout & ( (\neorv32_top_inst|Equal0~7_combout & +// (\neorv32_top_inst|io_wren~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|Equal0~7_combout ), + .datab(!\neorv32_top_inst|io_wren~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .lut_mask = 64'h0000000000000010; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N19 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [7]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .lut_mask = 64'h000005050000F5F5; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .lut_mask = 64'h44554455C4F5C4F5; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y14_N29 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & +// \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|io_rden~0 ( +// Equation(s): +// \neorv32_top_inst|io_rden~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|io_rden~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|io_rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|io_rden~0 .lut_mask = 64'h005F005F000F000F; +defparam \neorv32_top_inst|io_rden~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout = ( \neorv32_top_inst|Equal0~5_combout & ( (!\neorv32_top_inst|Equal0~7_combout ) # ((!\neorv32_top_inst|io_rden~0_combout ) # +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout )) ) ) # ( !\neorv32_top_inst|Equal0~5_combout ) + + .dataa(!\neorv32_top_inst|Equal0~7_combout ), + .datab(!\neorv32_top_inst|io_rden~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0 .lut_mask = 64'hFFFFFFFFFEFEFEFE; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N28 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~20_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout = ( \neorv32_top_inst|io_wren~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|Equal0~5_combout & \neorv32_top_inst|Equal0~7_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|Equal0~5_combout ), + .datad(!\neorv32_top_inst|Equal0~7_combout ), + .datae(!\neorv32_top_inst|io_wren~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y15_N53 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout = ( \neorv32_top_inst|Equal0~5_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|Equal0~7_combout & \neorv32_top_inst|io_wren~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|Equal0~7_combout ), + .datad(!\neorv32_top_inst|io_wren~1_combout ), + .datae(!\neorv32_top_inst|Equal0~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .lut_mask = 64'h0000000000000004; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y18_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7])) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .lut_mask = 64'h03CF111103CFDDDD; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( (!\neorv32_top_inst|Equal0~7_combout ) # ((!\neorv32_top_inst|io_rden~0_combout ) # +// (!\neorv32_top_inst|Equal0~5_combout )) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ) + + .dataa(!\neorv32_top_inst|Equal0~7_combout ), + .datab(!\neorv32_top_inst|io_rden~0_combout ), + .datac(!\neorv32_top_inst|Equal0~5_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .lut_mask = 64'hFFFFFFFFFEFEFEFE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y18_N13 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~80 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~80_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~80_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~80 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~80 .lut_mask = 64'h8888000000000000; +defparam \neorv32_top_inst|rdata_v~80 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X67_Y17_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X67_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .lut_mask = 64'hF0FFF0FFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y16_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y16_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0 .lut_mask = 64'hFF00000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X48_Y17_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0 .lut_mask = 64'hF000F00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1 .lut_mask = 64'h0400040000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .lut_mask = 64'h00000000F000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .lut_mask = 64'h000000000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]))) +// ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .lut_mask = 64'h02AA02AA00AA00AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~10_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .lut_mask = 64'h00AA00AA02AA02AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y15_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~12_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout +// [0]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .lut_mask = 64'h0F000F0000000000; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N4 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~3_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # +// ((!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & ( +// (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # ((!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .lut_mask = 64'hEECCEECCCCEECCEE; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N2 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y14_N43 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q ) ) # ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) ) # ( +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # +// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .lut_mask = 64'hF0F0F3FFF0F0FFFF; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N52 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( +// (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # +// ((!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1] & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0])) ) ) ) # ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) # ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) # +// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .lut_mask = 64'hCCCCCFFFFCCCCFFF; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N44 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout +// [2] & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout = ( \neorv32_top_inst|io_wren~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|io_wren~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .lut_mask = 64'h000000000A0A0A0A; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N55 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout = ( \neorv32_top_inst|io_wren~combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|io_wren~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .lut_mask = 64'h0000000000010001; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N38 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|err_o ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .lut_mask = 64'h00000000FFFF0000; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X40_Y14_N1 +dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout = ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q & +// !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|err_o~q ), + .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .lut_mask = 64'hF000F00000000000; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( (\neorv32_top_inst|ack_v~1_combout & +// !\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|ack_v~1_combout ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .lut_mask = 64'hCCCC0000CCCC5050; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N8 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) +// ) ) # ( \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .lut_mask = 64'h00FF00FF000000FF; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y14_N13 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .lut_mask = 64'h0033003303330333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]))) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .lut_mask = 64'h00AA00AA02AA02AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .lut_mask = 64'h02FF02FF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y14_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]))) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .lut_mask = 64'h00AA00AA20AA20AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] +// & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .lut_mask = 64'hF070F07000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf +// [3]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .lut_mask = 64'h7FFF7FFF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .lut_mask = 64'h00000000C0C0C0C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .lut_mask = 64'h0000002000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y20_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .lut_mask = 64'hB100B100B1FFB1FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1 .lut_mask = 64'h0000000000000808; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .lut_mask = 64'h0000000002000200; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout )))) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .lut_mask = 64'h00CC00CC50DC50DC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y19_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X57_Y14_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .lut_mask = 64'h880C880CBB3FBB3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y19_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .lut_mask = 64'hA0A0A0A000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q )) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .lut_mask = 64'hF0D0F0D0F000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause +// [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3 .lut_mask = 64'h8888AAAA88888888; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .lut_mask = 64'h0F0F00000F0F0400; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y19_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y21_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .lut_mask = 64'h0000000000000010; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .lut_mask = 64'h0555055555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .lut_mask = 64'h1000100000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .lut_mask = 64'h00000000EAAAEAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .lut_mask = 64'h0000000000050005; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]))) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]) ) +// ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .lut_mask = 64'hFF0FCC0CAA0A8808; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q +// ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0 .lut_mask = 64'h0000000400000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .lut_mask = 64'h0400040000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .lut_mask = 64'h0000000000050005; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .lut_mask = 64'h0000000000000200; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .lut_mask = 64'h0003000300030003; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X36_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0 .lut_mask = 64'hAAAAAAAAFFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y18_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N8 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X36_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .lut_mask = 64'h0000000003030303; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X36_Y13_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X36_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .lut_mask = 64'h0222022200000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X36_Y13_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X36_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X36_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .lut_mask = 64'h000000000000AAAA; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X36_Y13_N34 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl +// [28])) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1 .lut_mask = 64'h0707070704040404; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y11_N2 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y16_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y16_N7 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N5 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y18_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2] + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y15_N17 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N8 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y11_N10 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y18_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4] + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N52 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N14 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~14 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y11_N17 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y11_N20 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4] +// & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .lut_mask = 64'h8080000000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y11_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y18_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N10 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N25 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N13 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N29 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y16_N53 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y11_N32 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y11_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 +// )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .lut_mask = 64'h88888888AA88AA88; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .lut_mask = 64'h0000000044444444; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X61_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .lut_mask = 64'h00FF00FFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .lut_mask = 64'h0FF00FF00FF00FF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X64_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X61_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .lut_mask = 64'h0000000055555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) + ( VCC ) + +// ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) + ( VCC ) + ( +// !VCC )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .lut_mask = 64'h000000000000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .lut_mask = 64'h0A0A0A0AF0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .lut_mask = 64'hFAFAFAFAFAFAFAFA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y18_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y18_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: IOOBUF_X52_Y0_N2 -cyclonev_io_obuf \gpio_o[7]~output ( - .i(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [7]), - .oe(vcc), - .dynamicterminationcontrol(gnd), - .seriesterminationcontrol(16'b0000000000000000), - .parallelterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(gpio_o[7]), - .obar()); +// Location: FF_X56_Y18_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), + .prn(vcc)); // synopsys translate_off -defparam \gpio_o[7]~output .bus_hold = "false"; -defparam \gpio_o[7]~output .open_drain_output = "false"; -defparam \gpio_o[7]~output .shift_series_termination_control = "false"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .power_up = "low"; // synopsys translate_on -// Location: IOOBUF_X68_Y0_N2 -cyclonev_io_obuf \uart0_txd_o~output ( - .i(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~q ), - .oe(vcc), - .dynamicterminationcontrol(gnd), - .seriesterminationcontrol(16'b0000000000000000), - .parallelterminationcontrol(16'b0000000000000000), - .devoe(devoe), - .o(uart0_txd_o), - .obar()); -// synopsys translate_off -defparam \uart0_txd_o~output .bus_hold = "false"; -defparam \uart0_txd_o~output .open_drain_output = "false"; -defparam \uart0_txd_o~output .shift_series_termination_control = "false"; -// synopsys translate_on +// Location: LABCELL_X61_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ) -// Location: IOIBUF_X32_Y0_N1 -cyclonev_io_ibuf \clk_i~input ( - .i(clk_i), - .ibar(gnd), - .dynamicterminationcontrol(gnd), - .o(\clk_i~input_o )); + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \clk_i~input .bus_hold = "false"; -defparam \clk_i~input .simulate_z_as = "z"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: CLKCTRL_G6 -cyclonev_clkena \clk_i~inputCLKENA0 ( - .inclk(\clk_i~input_o ), - .ena(vcc), - .outclk(\clk_i~inputCLKENA0_outclk ), - .enaout()); +// Location: FF_X61_Y19_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), + .prn(vcc)); // synopsys translate_off -defparam \clk_i~inputCLKENA0 .clock_type = "global clock"; -defparam \clk_i~inputCLKENA0 .disable_mode = "low"; -defparam \clk_i~inputCLKENA0 .ena_register_mode = "always enabled"; -defparam \clk_i~inputCLKENA0 .ena_register_power_up = "high"; -defparam \clk_i~inputCLKENA0 .test_syn = "high"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[0]~feeder ( +// Location: LABCELL_X60_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder ( // Equation(s): -// \neorv32_top_inst|rstn_int_sreg[0]~feeder_combout = VCC +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .lut_mask = 64'hFFFFFFFFFFFFFFFF; -defparam \neorv32_top_inst|rstn_int_sreg[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: IOIBUF_X36_Y0_N18 -cyclonev_io_ibuf \rstn_i~input ( - .i(rstn_i), - .ibar(gnd), - .dynamicterminationcontrol(gnd), - .o(\rstn_i~input_o )); +// Location: FF_X60_Y17_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), + .prn(vcc)); // synopsys translate_off -defparam \rstn_i~input .bus_hold = "false"; -defparam \rstn_i~input .simulate_z_as = "z"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y10_N25 -dffeas \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), +// Location: LABCELL_X46_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] +// & ((\neorv32_top_inst|rdata_v~49_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~49_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (((\neorv32_top_inst|rdata_v~52_combout )))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((((\neorv32_top_inst|rdata_v~49_combout ))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|rdata_v~52_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .dataf(!\neorv32_top_inst|rdata_v~49_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .lut_mask = 64'h0C00440C3FFF773F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y14_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ), .asdata(vcc), - .clrn(\rstn_i~input_o ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y10_N59 -dffeas \neorv32_top_inst|rstn_int_sreg[1] ( - .clk(!\clk_i~inputCLKENA0_outclk ), +// Location: FF_X57_Y16_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ), - .clrn(\rstn_i~input_o ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[2]~feeder ( +// Location: LABCELL_X46_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder ( // Equation(s): -// \neorv32_top_inst|rstn_int_sreg[2]~feeder_combout = \neorv32_top_inst|rstn_int_sreg [1] +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|rstn_int_sreg [1]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|rstn_int_sreg[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y10_N14 -dffeas \neorv32_top_inst|rstn_int_sreg[2] ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rstn_int_sreg[2]~feeder_combout ), +// Location: LABCELL_X40_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( (!\neorv32_top_inst|io_rden~0_combout ) # ((!\neorv32_top_inst|Equal0~7_combout ) # +// (!\neorv32_top_inst|Equal0~5_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) + + .dataa(!\neorv32_top_inst|io_rden~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|Equal0~7_combout ), + .datad(!\neorv32_top_inst|Equal0~5_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1 .lut_mask = 64'hFFFFFFFFFFFAFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X48_Y14_N49 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14]~feeder_combout ), .asdata(vcc), - .clrn(\rstn_i~input_o ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|rstn_int_sreg[3]~feeder ( +// Location: MLABCELL_X47_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder ( // Equation(s): -// \neorv32_top_inst|rstn_int_sreg[3]~feeder_combout = \neorv32_top_inst|rstn_int_sreg [2] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] .dataa(gnd), - .datab(!\neorv32_top_inst|rstn_int_sreg [2]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rstn_int_sreg[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|rstn_int_sreg[3]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|rstn_int_sreg[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y10_N23 -dffeas \neorv32_top_inst|rstn_int_sreg[3] ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rstn_int_sreg[3]~feeder_combout ), - .asdata(vcc), - .clrn(\rstn_i~input_o ), +// Location: FF_X47_Y18_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y10_N58 -dffeas \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rstn_int_sreg[0]~DUPLICATE_q ), - .clrn(\rstn_i~input_o ), +// Location: LABCELL_X40_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X40_Y16_N49 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y10_N26 -dffeas \neorv32_top_inst|rstn_int_sreg[0] ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rstn_int_sreg[0]~feeder_combout ), - .asdata(vcc), - .clrn(\rstn_i~input_o ), +// Location: FF_X37_Y15_N43 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int_sreg [0]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int_sreg[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int_sreg[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|tmp_v~0 ( +// Location: LABCELL_X40_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 ( // Equation(s): -// \neorv32_top_inst|tmp_v~0_combout = ( \neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q & ( \neorv32_top_inst|rstn_int_sreg [0] & ( (\neorv32_top_inst|rstn_int_sreg [3] & \neorv32_top_inst|rstn_int_sreg [2]) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]))) ) ) - .dataa(!\neorv32_top_inst|rstn_int_sreg [3]), - .datab(!\neorv32_top_inst|rstn_int_sreg [2]), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|rstn_int_sreg[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|rstn_int_sreg [0]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [14]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|tmp_v~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|tmp_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|tmp_v~0 .lut_mask = 64'h0000000000001111; -defparam \neorv32_top_inst|tmp_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .lut_mask = 64'h000000000C3F0C3F; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y10_N44 -dffeas \neorv32_top_inst|rstn_int ( - .clk(!\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|tmp_v~0_combout ), +// Location: FF_X40_Y13_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~8_combout ), .asdata(vcc), - .clrn(\rstn_i~input_o ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|rstn_int~q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rstn_int .is_wysiwyg = "true"; -defparam \neorv32_top_inst|rstn_int .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y12_N26 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf ( +// Location: FF_X37_Y15_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y13_N34 -dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE ( +// Location: FF_X36_Y16_N40 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 ( +// Location: LABCELL_X37_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .lut_mask = 64'h0F0F00FF33335555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder ( +// Location: FF_X40_Y15_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout +// \neorv32_top_inst|rdata_v~21_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [14]), + .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~21 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~21 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|rdata_v~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 ( +// Location: FF_X56_Y15_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 ( +// Location: LABCELL_X53_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 ( +// Location: FF_X53_Y16_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X48_Y17_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .lut_mask = 64'h00000000CCCCCCCC; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .lut_mask = 64'h0505050505050505; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N20 -dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o ( +// Location: FF_X51_Y16_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -4059,41 +12095,41 @@ dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 ( +// Location: LABCELL_X51_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .lut_mask = 64'h0000000055555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N14 -dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE ( +// Location: FF_X51_Y16_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -4102,1622 +12138,2000 @@ dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~D .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 ( +// Location: LABCELL_X56_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X66_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y12_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ( +// Location: FF_X56_Y20_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X71_Y12_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), - .prn(vcc)); +// Location: LABCELL_X57_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .lut_mask = 64'h00330033FF33FF33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder ( +// Location: LABCELL_X57_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [0] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28 .lut_mask = 64'h11111111DDDDDDDD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N12 -cyclonev_lcell_comb \~GND ( +// Location: LABCELL_X56_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 ( // Equation(s): -// \~GND~combout = GND +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\~GND~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \~GND .extended_lut = "off"; -defparam \~GND .lut_mask = 64'h0000000000000000; -defparam \~GND .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y12_N20 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf ( +// Location: FF_X56_Y20_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 ( +// Location: LABCELL_X57_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27 .lut_mask = 64'h03030303CFCFCFCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 ( +// Location: LABCELL_X51_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), - .datae(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .lut_mask = 64'h0000555500005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE ( +// Location: FF_X51_Y16_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X66_Y14_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] ( +// Location: FF_X40_Y15_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 ( +// Location: LABCELL_X45_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout = ( \neorv32_top_inst|io_wren~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .lut_mask = 64'h0000000002020202; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] ( +// Location: FF_X45_Y16_N23 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~94 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), - .shareout()); +// Location: FF_X45_Y18_N16 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] ( +// Location: FF_X40_Y15_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 ( +// Location: LABCELL_X40_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 -// )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .lut_mask = 64'h048C26AE159D37BF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE ( +// Location: FF_X40_Y15_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 ( +// Location: LABCELL_X43_Y12_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] ( +// Location: FF_X42_Y12_N41 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 ( +// Location: LABCELL_X42_Y12_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] ( +// Location: FF_X42_Y12_N59 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 ( +// Location: LABCELL_X42_Y12_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 ( +// Location: LABCELL_X37_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), + .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .lut_mask = 64'h00000000FFFF0000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 ( +// Location: FF_X42_Y12_N1 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout = ( \neorv32_top_inst|Equal0~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( (!\neorv32_top_inst|io_rden~0_combout ) # +// ((!\neorv32_top_inst|Equal0~7_combout ) # ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|Equal0~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) # ( \neorv32_top_inst|Equal0~5_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) # ( +// !\neorv32_top_inst|Equal0~5_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|io_rden~0_combout ), + .datab(!\neorv32_top_inst|Equal0~7_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|Equal0~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0 .lut_mask = 64'hFFFFFFFFFFFFEEFE; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y12_N1 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [5]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y18_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), - .shareout()); +// Location: FF_X42_Y17_N1 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder ( +// Location: LABCELL_X46_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 ( +// Location: FF_X46_Y16_N25 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12] & ( +// (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [12]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .lut_mask = 64'h0005000500F500F5; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] ( +// Location: FF_X40_Y13_N58 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~24_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[12] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y13_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y16_N41 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .power_up = "low"; // synopsys translate_on -// Location: FF_X67_Y12_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] ( +// Location: FF_X40_Y18_N37 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 ( +// Location: FF_X36_Y17_N13 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X36_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h00000F0F0F0F0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .lut_mask = 64'h330F330F550055FF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y12_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE ( +// Location: FF_X36_Y16_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~0_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0] ( +// Location: LABCELL_X43_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~59 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q -// ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|rdata_v~59_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12])) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [12]), + .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .combout(\neorv32_top_inst|rdata_v~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0] .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0] .lut_mask = 64'h000033330000CCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re[0] .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~59 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~59 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|rdata_v~59 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X41_Y13_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 ( + .portawe(vcc), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(vcc), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain(10'b0000000000), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(10'b0000000000), + .portbaddr(10'b0000000000), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus ), + .portbdataout(), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .clk0_core_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .clk0_input_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .init_file_layout = "port_a"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .operation_mode = "rom"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 1023; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 1024; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_write_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init4 = "0000000000000000000000000000000000000000000000000000000000000000015812012500D02004EB5A4420098A22566608A66A1800948462980021610108040160301800190411880011A470005008A86852C00125500020182040160301813188002C0C088883004601D0A604C411807409816104602927134026242A2A1630A0A62300D401006040020966001A80014C230094A1AC6058058081618800052C00586200C720000320803188031080035451A0600054623C2201D801AD86790802198001D022980060086200822018001984631E91080650400031E82046240B2284E62882005BFB21FD7FE087FEC87F5014208023142500202010100140"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init3 = "1080000840108AE0A18E0B1FF9FE3F8413F841485FE006FEBF8204A5090240160029000002008060100807F6105204A469FF82101001401080000840108000081002003500422FEC87F58E0012A4D03254902401D6429803FE08549087FE08449036F68A3FEFF8810C13911708FFA21FD6FE016FE887F54180168129574FE0840DFFA21FD430085C040080200002000060080400052240080404005086C531BF8FE7F8013F820E0439BFAFE2020101001401083F9007F8013F881FF880804F6005083F801FF880806F68E0A168129425FE0A7FE006FE087FE0A481BF80400500420000210040108CE0A168129426388200114001004210A58020000200004020"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init2 = "1011A08A5B90A301BD801421006C7FE6C531BF8806C4310850080404C0228C2300011A06E428C07F6AC421FFA21FD6FEA06FE006FE086FE006FE084FEC87F50813909708A05FE204200A00D6C0898040142100020004210002000421000200042008001084210000700E01A96E5210E700E06083F8204E405C23802A70808139017086C0A9036096010060100200006010060100601006010020000200808040680380224204E405C2231427FE686214A7F50E6FE20221FD42140201FDAFE087FE604300100100008401080C0A10A101085802000040201010014200002100422B8FFB21081A96E559C2629407FEFF501FF88060100200010840080404C02384"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init1 = "E60809404EE208802087DA21FD4F6205F6887F50E0287D8817DB21FD50E22541438410A40E01529577684210E185C9601E10A1E15240101608D61C1F06582E40EC3A0E1070E4040E03B81034050C60E887F5838810820E76189C3841746588030EC87F583805C3821FD60E0860E487F5BDB0E006F6C3921FD43008338A81A022406C87F5E818968041427A0502206380103A21FD50E206286C32883AF62C4F66E4A92C4306C7217D8314A43128404FB5B00240E887FD038216C6388000E087FD224814250143841FF70E48608FD4300E608080B9C21A1545212C4050B60043A0E487F5081390170843A0083B21C3B0083821FD70E8030EC870EC030E887F583B"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init0 = "F5C020EC87F5C3A0183A21FD70EA070EC87F583A00C3A21FD60E8000EC87F5C3A8103A21FD6214263102600E003982200800204E405C23804A6054A539E8720CA409077A0087A9007F50760880200E00890813901708E0129085154E5A1B9608E012901608E0129016088E13982200803802A60003600800204E405C2380226000813911708AC729601006010020000200002000040080FB42003420035808060140408002B1086816C081AC4212E438A0420805804030101A818C61103809006F6084206C10988405FD8210A520081B048229037210172907409004090040900409004090040900409004090040900409004090060903701016910240D84611"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21 ( +// Location: LABCELL_X56_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2] & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout -// & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21 .lut_mask = 64'h000000000F000F00; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 ( +// Location: LABCELL_X53_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout = (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o -// [2]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .lut_mask = 64'h00CF00CF00CF00CF; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y11_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 ( +// Location: LABCELL_X50_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [4] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .lut_mask = 64'h0F000F0000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1 .lut_mask = 64'h000C000C00000300; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] ( +// Location: FF_X53_Y15_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 ( +// Location: LABCELL_X56_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .lut_mask = 64'hCC00CC00CCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .lut_mask = 64'h00F000F005050505; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2 ( +// Location: LABCELL_X56_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2 .lut_mask = 64'h0000000000150015; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y14_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .lut_mask = 64'h0020002041204120; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 ( +// Location: LABCELL_X56_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .lut_mask = 64'h04070407010D010D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .lut_mask = 64'h0F000F003F333F33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux ( +// Location: FF_X56_Y15_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~2_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y13_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] ( +// Location: LABCELL_X57_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~19_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~21_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X57_Y15_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21 ( +// Location: LABCELL_X40_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) ) # ( +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21 .lut_mask = 64'h0000000000AA00AA; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35 .lut_mask = 64'h00000F0FFFFF0F0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 ( +// Location: FF_X43_Y18_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X55_Y15_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .lut_mask = 64'h00FF00FF00550055; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2 .lut_mask = 64'h0300C3C000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE ( +// Location: FF_X50_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 ( +// Location: LABCELL_X53_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), - .datad(gnd), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .lut_mask = 64'h0501AFAB0501AFAB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] ( +// Location: FF_X53_Y16_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 ( +// Location: LABCELL_X57_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .lut_mask = 64'h000000005F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .lut_mask = 64'h00AA45EF00AA45EF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE ( +// Location: FF_X53_Y16_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 ( +// Location: LABCELL_X57_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] ) + ( VCC ) + ( !VCC )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), + .combout(), .sumout(), - .cout(), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .lut_mask = 64'h0000000022222222; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 ( +// Location: LABCELL_X57_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .lut_mask = 64'h00000000DDDDDDDD; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .lut_mask = 64'h00009C93000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 ( +// Location: LABCELL_X57_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .lut_mask = 64'h303030303F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 ( +// Location: LABCELL_X57_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~2_combout ), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .lut_mask = 64'h0000FF000000596A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout = ( \neorv32_top_inst|rdata_v~38_combout & ( \neorv32_top_inst|rdata_v~55_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) # (\neorv32_top_inst|rdata_v~58_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )) # (\neorv32_top_inst|rdata_v~36_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~38_combout & ( \neorv32_top_inst|rdata_v~55_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) # (\neorv32_top_inst|rdata_v~58_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~36_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~38_combout +// & ( !\neorv32_top_inst|rdata_v~55_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|rdata_v~58_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )) # (\neorv32_top_inst|rdata_v~36_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~38_combout +// & ( !\neorv32_top_inst|rdata_v~55_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|rdata_v~58_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~36_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|rdata_v~36_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datac(!\neorv32_top_inst|rdata_v~58_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datae(!\neorv32_top_inst|rdata_v~38_combout ), + .dataf(!\neorv32_top_inst|rdata_v~55_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .lut_mask = 64'h0000FFFF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .lut_mask = 64'h110C113FDD0CDD3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y12_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] ( +// Location: FF_X47_Y12_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X50_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .lut_mask = 64'h0500050050005000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2 ( +// Location: LABCELL_X50_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .lut_mask = 64'h000000000000EAEA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2 .lut_mask = 64'h04000400A400A400; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .lut_mask = 64'h0000000000050005; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 ( +// Location: LABCELL_X51_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .dataf(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .lut_mask = 64'h1101DDCD1101DDCD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52 .lut_mask = 64'h0F0F0F0FC0CFC0CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] ( +// Location: FF_X46_Y12_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), + .asdata(\neorv32_top_inst|rdata_v~4_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 ( +// Location: LABCELL_X46_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .lut_mask = 64'hCCCCFFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y10_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51 .lut_mask = 64'h1D1D1D1D0C3F0C3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux[0] ( +// Location: LABCELL_X45_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux [0] = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux [0]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux[0] .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux[0] .lut_mask = 64'h55555555FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux[0] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .lut_mask = 64'h0A000A0000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y10_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[0] ( +// Location: FF_X47_Y14_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.rf_mux [0]), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~15_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 ( +// Location: LABCELL_X43_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .lut_mask = 64'h0000000000020000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), - .asdata(\~GND~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .lut_mask = 64'h0200020000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] ( +// Location: FF_X46_Y13_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|rdata_v~12_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] ( +// Location: FF_X46_Y13_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|rdata_v~12_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 ( +// Location: LABCELL_X46_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][5]~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][5]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .lut_mask = 64'hFFF0FFF0FFF0FFF0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 ( +// Location: MLABCELL_X47_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .lut_mask = 64'h0000000033333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .lut_mask = 64'hF0F0F0F000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y11_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] ( +// Location: MLABCELL_X47_Y12_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout = ( \neorv32_top_inst|rdata_v~7_combout & ( \neorv32_top_inst|rdata_v~47_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// (\neorv32_top_inst|rdata_v~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((\neorv32_top_inst|rdata_v~44_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) ) ) ) +// # ( !\neorv32_top_inst|rdata_v~7_combout & ( \neorv32_top_inst|rdata_v~47_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~4_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) # +// (\neorv32_top_inst|rdata_v~44_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~7_combout & ( !\neorv32_top_inst|rdata_v~47_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )) # (\neorv32_top_inst|rdata_v~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|rdata_v~44_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~7_combout & ( !\neorv32_top_inst|rdata_v~47_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~4_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((\neorv32_top_inst|rdata_v~44_combout ))))) ) ) ) + + .dataa(!\neorv32_top_inst|rdata_v~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datac(!\neorv32_top_inst|rdata_v~44_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datae(!\neorv32_top_inst|rdata_v~7_combout ), + .dataf(!\neorv32_top_inst|rdata_v~47_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .lut_mask = 64'h470047CC473347FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y12_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux25~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -5726,2475 +14140,2786 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder ( +// Location: LABCELL_X51_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder_combout = ( \neorv32_top_inst|rdata_v~45_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~45_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y8_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] ( +// Location: FF_X51_Y18_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y13_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[7] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X57_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) -// Location: FF_X61_Y13_N22 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .lut_mask = 64'h050505FCFC05FCFC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2 ( +// Location: LABCELL_X48_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .lut_mask = 64'h1010D0D01F1FDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N44 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we ( +// Location: FF_X48_Y20_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y15_N22 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X46_Y22_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] ) + ( VCC ) + ( !VCC )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0 ( +// Location: LABCELL_X45_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( (\neorv32_top_inst|io_wren~combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) ) ) - .dataa(!\neorv32_top_inst|io_wren~combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0 .lut_mask = 64'h0000000001010101; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y14_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0 ( +// Location: MLABCELL_X52_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( (\neorv32_top_inst|io_wren~combout & -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) - .dataa(!\neorv32_top_inst|io_wren~combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0 .lut_mask = 64'h0000000004040404; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .lut_mask = 64'h00CC00CC05CD05CD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y20_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y14_N59 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] ( +// Location: FF_X52_Y16_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 ( +// Location: LABCELL_X51_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .lut_mask = 64'h5500330F55FF330F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .lut_mask = 64'h0000000400000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 ( +// Location: LABCELL_X45_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .lut_mask = 64'h0F0F0F0F000F000F; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .lut_mask = 64'h001000100F1F0F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder ( +// Location: LABCELL_X45_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder ( +// Location: FF_X45_Y20_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .lut_mask = 64'h0537053705370537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE ( +// Location: FF_X50_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [12]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1 ( +// Location: LABCELL_X51_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [25]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1 .lut_mask = 64'h0000000000020002; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .lut_mask = 64'h0000000000400040; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder ( +// Location: LABCELL_X48_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .lut_mask = 64'h000000000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3 .lut_mask = 64'h0000000050505050; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1 ( +// Location: LABCELL_X48_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1 .lut_mask = 64'h1111111111331133; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .lut_mask = 64'h0000000001000100; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] ( +// Location: FF_X51_Y20_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1 ( +// Location: LABCELL_X51_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1 .lut_mask = 64'h000F000F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .lut_mask = 64'h0500050000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0 ( +// Location: LABCELL_X50_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0 .lut_mask = 64'h0500050000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y10_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux41~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .lut_mask = 64'h0000000000040004; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 ( +// Location: LABCELL_X48_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .lut_mask = 64'h00F000F000050005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .lut_mask = 64'h00000000000A000A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 ( +// Location: MLABCELL_X52_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout = ( \neorv32_top_inst|io_wren~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .datae(gnd), - .dataf(!\neorv32_top_inst|io_wren~combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .lut_mask = 64'h000000000A0A0A0A; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .lut_mask = 64'h00000000F000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N16 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), - .prn(vcc)); +// Location: MLABCELL_X52_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .lut_mask = 64'h0000000001010101; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder ( +// Location: LABCELL_X51_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: IOIBUF_X64_Y0_N1 -cyclonev_io_ibuf \uart0_rxd_i~input ( - .i(uart0_rxd_i), - .ibar(gnd), - .dynamicterminationcontrol(gnd), - .o(\uart0_rxd_i~input_o )); -// synopsys translate_off -defparam \uart0_rxd_i~input .bus_hold = "false"; -defparam \uart0_rxd_i~input .simulate_z_as = "z"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .lut_mask = 64'h0000000011111111; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y1_N13 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] ( +// Location: FF_X51_Y20_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\uart0_rxd_i~input_o ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y15_N47 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] ( +// Location: FF_X50_Y22_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [4]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y15_N55 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] ( +// Location: FF_X51_Y20_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder ( +// Location: LABCELL_X46_Y22_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder ( +// Location: LABCELL_X46_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 +// )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .lut_mask = 64'h0000000044444444; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N35 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] ( +// Location: FF_X51_Y20_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 ( +// Location: LABCELL_X51_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0] ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .lut_mask = 64'h000000FFFF00FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y12_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0 .lut_mask = 64'h55005500FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N41 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] ( +// Location: FF_X46_Y22_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N0 -cyclonev_lcell_comb \neorv32_top_inst|Add0~29 ( +// Location: LABCELL_X46_Y22_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 ( // Equation(s): -// \neorv32_top_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|clk_div [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|Add0~30 = CARRY(( \neorv32_top_inst|clk_div [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|Add0~29_sumout ), - .cout(\neorv32_top_inst|Add0~30 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~29 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~29 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|Add0~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y14_N34 -dffeas \neorv32_top_inst|clk_gen_en_ff ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|clk_gen_en_ff~q ), - .prn(vcc)); +// Location: LABCELL_X45_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen_en_ff .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_gen_en_ff .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .lut_mask = 64'h5500550055FF55FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N2 -dffeas \neorv32_top_inst|clk_div[0] ( +// Location: FF_X45_Y20_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~29_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N3 -cyclonev_lcell_comb \neorv32_top_inst|Add0~25 ( +// Location: LABCELL_X48_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0 ( // Equation(s): -// \neorv32_top_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|clk_div [1] ) + ( GND ) + ( \neorv32_top_inst|Add0~30 )) -// \neorv32_top_inst|Add0~26 = CARRY(( \neorv32_top_inst|clk_div [1] ) + ( GND ) + ( \neorv32_top_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|clk_div [1]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datag(gnd), - .cin(\neorv32_top_inst|Add0~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~25_sumout ), - .cout(\neorv32_top_inst|Add0~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0 .lut_mask = 64'h0020002000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q )) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~25 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~25 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|Add0~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .lut_mask = 64'h00000000C000C000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N5 -dffeas \neorv32_top_inst|clk_div[1] ( +// Location: FF_X52_Y20_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~25_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N6 -cyclonev_lcell_comb \neorv32_top_inst|Add0~33 ( +// Location: MLABCELL_X52_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 ( // Equation(s): -// \neorv32_top_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|clk_div [2] ) + ( GND ) + ( \neorv32_top_inst|Add0~26 )) -// \neorv32_top_inst|Add0~34 = CARRY(( \neorv32_top_inst|clk_div [2] ) + ( GND ) + ( \neorv32_top_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout )))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout )))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [2]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|Add0~26 ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~33_sumout ), - .cout(\neorv32_top_inst|Add0~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~33 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .lut_mask = 64'h3B3B1B1B2A7F0A5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N7 -dffeas \neorv32_top_inst|clk_div[2] ( +// Location: FF_X52_Y20_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~33_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N9 -cyclonev_lcell_comb \neorv32_top_inst|Add0~45 ( +// Location: MLABCELL_X52_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 ( // Equation(s): -// \neorv32_top_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|clk_div [3] ) + ( GND ) + ( \neorv32_top_inst|Add0~34 )) -// \neorv32_top_inst|Add0~46 = CARRY(( \neorv32_top_inst|clk_div [3] ) + ( GND ) + ( \neorv32_top_inst|Add0~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [3]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|Add0~34 ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~45_sumout ), - .cout(\neorv32_top_inst|Add0~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~45 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~45 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .lut_mask = 64'h00C005F50CCC05F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N11 -dffeas \neorv32_top_inst|clk_div[3] ( +// Location: FF_X52_Y20_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~45_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~85_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N12 -cyclonev_lcell_comb \neorv32_top_inst|Add0~41 ( +// Location: LABCELL_X51_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 ( // Equation(s): -// \neorv32_top_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|clk_div [4] ) + ( GND ) + ( \neorv32_top_inst|Add0~46 )) -// \neorv32_top_inst|Add0~42 = CARRY(( \neorv32_top_inst|clk_div [4] ) + ( GND ) + ( \neorv32_top_inst|Add0~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|clk_div [4]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|Add0~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~41_sumout ), - .cout(\neorv32_top_inst|Add0~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~41 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~41 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|Add0~41 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y16_N13 -dffeas \neorv32_top_inst|clk_div[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~41_sumout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|clk_div [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|clk_div[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .lut_mask = 64'h00EE00EE00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N15 -cyclonev_lcell_comb \neorv32_top_inst|Add0~21 ( +// Location: LABCELL_X57_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 ( // Equation(s): -// \neorv32_top_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|clk_div [5] ) + ( GND ) + ( \neorv32_top_inst|Add0~42 )) -// \neorv32_top_inst|Add0~22 = CARRY(( \neorv32_top_inst|clk_div [5] ) + ( GND ) + ( \neorv32_top_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) ) +// ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|Add0~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~21_sumout ), - .cout(\neorv32_top_inst|Add0~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .lut_mask = 64'h40404C4C73737F7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N16 -dffeas \neorv32_top_inst|clk_div[5] ( +// Location: FF_X57_Y21_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~21_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N18 -cyclonev_lcell_comb \neorv32_top_inst|Add0~9 ( +// Location: LABCELL_X51_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 ( // Equation(s): -// \neorv32_top_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|clk_div [6] ) + ( GND ) + ( \neorv32_top_inst|Add0~22 )) -// \neorv32_top_inst|Add0~10 = CARRY(( \neorv32_top_inst|clk_div [6] ) + ( GND ) + ( \neorv32_top_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [6]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|Add0~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~9_sumout ), - .cout(\neorv32_top_inst|Add0~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .lut_mask = 64'hFCFCA8A8FC00A800; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N20 -dffeas \neorv32_top_inst|clk_div[6] ( +// Location: FF_X55_Y20_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~9_sumout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N21 -cyclonev_lcell_comb \neorv32_top_inst|Add0~37 ( +// Location: LABCELL_X57_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 ( // Equation(s): -// \neorv32_top_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|clk_div [7] ) + ( GND ) + ( \neorv32_top_inst|Add0~10 )) -// \neorv32_top_inst|Add0~38 = CARRY(( \neorv32_top_inst|clk_div [7] ) + ( GND ) + ( \neorv32_top_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) - .dataa(!\neorv32_top_inst|clk_div [7]), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|Add0~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~37_sumout ), - .cout(\neorv32_top_inst|Add0~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~37 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~37 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|Add0~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .lut_mask = 64'h505030305F5F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N23 -dffeas \neorv32_top_inst|clk_div[7] ( +// Location: FF_X57_Y21_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~37_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N24 -cyclonev_lcell_comb \neorv32_top_inst|Add0~17 ( +// Location: LABCELL_X46_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 ( // Equation(s): -// \neorv32_top_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|clk_div [8] ) + ( GND ) + ( \neorv32_top_inst|Add0~38 )) -// \neorv32_top_inst|Add0~18 = CARRY(( \neorv32_top_inst|clk_div [8] ) + ( GND ) + ( \neorv32_top_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE_q ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|Add0~38 ), + .cin(gnd), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|Add0~17_sumout ), - .cout(\neorv32_top_inst|Add0~18 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N26 -dffeas \neorv32_top_inst|clk_div[8] ( +// Location: LABCELL_X51_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .lut_mask = 64'h4444444400000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y20_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~17_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N27 -cyclonev_lcell_comb \neorv32_top_inst|Add0~1 ( +// Location: LABCELL_X48_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 ( // Equation(s): -// \neorv32_top_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|clk_div [9] ) + ( GND ) + ( \neorv32_top_inst|Add0~18 )) -// \neorv32_top_inst|Add0~2 = CARRY(( \neorv32_top_inst|clk_div [9] ) + ( GND ) + ( \neorv32_top_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) ) ) ) - .dataa(!\neorv32_top_inst|clk_div [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .lut_mask = 64'h0000005500000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~1_combout ), .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2 .lut_mask = 64'h0000000000010001; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|Add0~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~1_sumout ), - .cout(\neorv32_top_inst|Add0~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~1 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|Add0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .lut_mask = 64'h0000808000008080; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N29 -dffeas \neorv32_top_inst|clk_div[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~1_sumout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|clk_div [9]), - .prn(vcc)); +// Location: MLABCELL_X52_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ))) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .lut_mask = 64'h00CF00CFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N58 -dffeas \neorv32_top_inst|clk_div_ff[9] ( +// Location: FF_X52_Y15_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div [9]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N57 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[5] ( +// Location: LABCELL_X45_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0 ( // Equation(s): -// \neorv32_top_inst|clk_gen [5] = ( \neorv32_top_inst|clk_div_ff [9] ) # ( !\neorv32_top_inst|clk_div_ff [9] & ( !\neorv32_top_inst|clk_div [9] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle +// [0] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|clk_div [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|clk_div_ff [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [5]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[5] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[5] .lut_mask = 64'hFF00FF00FFFFFFFF; -defparam \neorv32_top_inst|clk_gen[5] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0 .lut_mask = 64'hF3F3F3F333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N30 -cyclonev_lcell_comb \neorv32_top_inst|Add0~13 ( +// Location: FF_X46_Y20_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 ( // Equation(s): -// \neorv32_top_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|clk_div [10] ) + ( GND ) + ( \neorv32_top_inst|Add0~2 )) -// \neorv32_top_inst|Add0~14 = CARRY(( \neorv32_top_inst|clk_div [10] ) + ( GND ) + ( \neorv32_top_inst|Add0~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|clk_div [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|Add0~2 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|Add0~13_sumout ), - .cout(\neorv32_top_inst|Add0~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Add0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~13 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|Add0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N31 -dffeas \neorv32_top_inst|clk_div[10] ( +// Location: FF_X53_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~13_sumout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y16_N55 -dffeas \neorv32_top_inst|clk_div_ff[10] ( +// Location: FF_X46_Y20_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div [10]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N54 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[6] ( +// Location: LABCELL_X46_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 ( // Equation(s): -// \neorv32_top_inst|clk_gen [6] = (!\neorv32_top_inst|clk_div [10]) # (\neorv32_top_inst|clk_div_ff [10]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) .dataa(gnd), - .datab(!\neorv32_top_inst|clk_div_ff [10]), - .datac(gnd), - .datad(!\neorv32_top_inst|clk_div [10]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [6]), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[6] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[6] .lut_mask = 64'hFF33FF33FF33FF33; -defparam \neorv32_top_inst|clk_gen[6] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y16_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] ( +// Location: FF_X46_Y20_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N44 -dffeas \neorv32_top_inst|clk_div_ff[2] ( +// Location: FF_X46_Y20_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N42 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[2] ( +// Location: LABCELL_X46_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 ( // Equation(s): -// \neorv32_top_inst|clk_gen [2] = ( \neorv32_top_inst|clk_div_ff [2] ) # ( !\neorv32_top_inst|clk_div_ff [2] & ( !\neorv32_top_inst|clk_div [2] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE_q ), .datac(gnd), - .datad(!\neorv32_top_inst|clk_div [2]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|clk_div_ff [2]), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [2]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[2] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[2] .lut_mask = 64'hFF00FF00FFFFFFFF; -defparam \neorv32_top_inst|clk_gen[2] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N4 -dffeas \neorv32_top_inst|clk_div[1]~DUPLICATE ( +// Location: FF_X45_Y20_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~25_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y15_N20 -dffeas \neorv32_top_inst|clk_div_ff[1] ( +// Location: LABCELL_X45_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .lut_mask = 64'h1010B0B01F1FBFBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y20_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div[1]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[1] ( +// Location: LABCELL_X45_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 ( // Equation(s): -// \neorv32_top_inst|clk_gen [1] = ( \neorv32_top_inst|clk_div[1]~DUPLICATE_q & ( \neorv32_top_inst|clk_div_ff [1] ) ) # ( !\neorv32_top_inst|clk_div[1]~DUPLICATE_q & ( \neorv32_top_inst|clk_div_ff [1] ) ) # ( !\neorv32_top_inst|clk_div[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|clk_div_ff [1] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|clk_div[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|clk_div_ff [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [1]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[1] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[1] .lut_mask = 64'hFFFF0000FFFFFFFF; -defparam \neorv32_top_inst|clk_gen[1] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 ( +// Location: FF_X46_Y18_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .lut_mask = 64'h00000F0FF0F0FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .lut_mask = 64'h028A028A57DF57DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1] ( +// Location: FF_X48_Y20_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideOr12~combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 ( +// Location: LABCELL_X50_Y22_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE_q ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE_q +// ) + ( !VCC )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .lut_mask = 64'hF000F000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .lut_mask = 64'h0000F0F0000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 ( +// Location: LABCELL_X50_Y22_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .lut_mask = 64'hFF00FF0000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] ( +// Location: FF_X50_Y22_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 ( +// Location: LABCELL_X50_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 ( +// Location: FF_X50_Y22_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y22_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] ( +// Location: FF_X50_Y22_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 ( +// Location: LABCELL_X50_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] ( +// Location: FF_X50_Y22_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 ( +// Location: LABCELL_X51_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .lut_mask = 64'h1111111100000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] ( +// Location: FF_X51_Y20_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 ( +// Location: FF_X47_Y21_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y21_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 ( +// Location: MLABCELL_X47_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .lut_mask = 64'h11111111DDDDDDDD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 ( +// Location: FF_X47_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .lut_mask = 64'hFFBEFFBEF7F7F7F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .lut_mask = 64'h111111111F1F1F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 ( +// Location: LABCELL_X51_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ) ) + + .dataa(gnd), + .datab(gnd), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .lut_mask = 64'h111111111F11111F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2 .lut_mask = 64'h00000000FFFFF0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y13_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] ( +// Location: FF_X46_Y20_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux19~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y13_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ), - .prn(vcc)); +// Location: LABCELL_X50_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .lut_mask = 64'h0000000005000500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .lut_mask = 64'h00000000FAAAFAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder ( +// Location: LABCELL_X48_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 ( +// Location: MLABCELL_X47_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .lut_mask = 64'h0F0F0F0FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .lut_mask = 64'hC080C08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] ( +// Location: FF_X47_Y19_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X51_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .lut_mask = 64'h0000000200000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N5 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), - .prn(vcc)); +// Location: MLABCELL_X52_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .lut_mask = 64'h0000000000001010; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N11 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] ( +// Location: FF_X47_Y19_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 ( +// Location: MLABCELL_X47_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .lut_mask = 64'h22220A5F77770A5F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .lut_mask = 64'hDDDFDDDFDDDFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N49 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ( +// Location: FF_X47_Y19_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X62_Y15_N34 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1 ( +// Location: LABCELL_X48_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( (!\neorv32_top_inst|io_rden~combout ) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|io_rden~combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1 .lut_mask = 64'hFFFFFFFFCFCFCFCF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y16_N8 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] .power_up = "low"; -// synopsys translate_on - -// Location: M10K_X58_Y16_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 ( - .portawe(vcc), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(vcc), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain(10'b0000000000), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(10'b0000000000), - .portbaddr(10'b0000000000), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1_PORTADATAOUT_bus ), - .portbdataout(), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .clk0_core_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .clk0_input_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .init_file_layout = "port_a"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .operation_mode = "rom"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 1023; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 1024; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_a_write_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init4 = "000000000000000000000000000000000000000000000000000004691A0291052FABB16A5A1169F2FF8A7FA9FEFFBBEEFBEADBBFABFBEBBC9E40FBE3FAFFE001BEFCFCC001FCE842279BA288BE6F9022F9C007EBF2E8BE3FAFFE2F644003EAF21A054DF3FB3E67FAB75CFECF99E2AD973FB3A2FADE438BC8683E3F11A0FA9F039A22F8BEE051EBF980079BA2FCBF2F9FC06C3A3F9BC02DA48002E6F90B6940A1910B4286502864428644001CF895A179AB7910BCFABEAC854688514000BC003E67FBFE158006F0407FBFE001BCF93EB7BFFCFCFEE0024B9205F85002C58EDD7B2C03462827891880918A09E260902B2848C0D0A02CC0402004340C0300A02808"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init3 = "39E0271A1A66298A6202662024119888998A621500D03411024AC024382802C2F0240A301D8811280892366202402004380D0340D0340C0300A0200900D0A02058A09E270A224092688904409047750573898809024159880902411D8B06D89622240E3B40DCB162827895880958A09E2402024926364DD8809025628278904809280282B0340E0BC280240B0280540D2C30100801082C922762D8B620276200B893B762D882CC040200428083622B36202762027620F301D880108362227620B301D8B02024000340DD8809D8809D8809D888922762008010D0300C0280A0280838A024800340DD7B2C0340A05409044110902C0E0BC28028C04022806DFB42"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init2 = "CC3762004200A0E9D88E9127620B0E9124090A301008E9CA35ECB3AC008A0FB42CC376240C0998A09E26626AE620266202662026620276282789018ED0372C4AF620240E0B00D2688D60C010F03C0F03C0C0300B02C0B02C0A0280A0240902408028007B8EF08D2B489337B8E372CD88123B40DCB3A81372C048ED0372C2388D035AB2A0AC0C0340E03C280A40A02C2C0B40E03C280A40A02CC0402CB3A8034123B40DCB123037622270903789237620B209E24090A301D8B62027620248E008010C0280A0200780912091024240B0A00A301008010C0300A0280838AD8B091208D3B44DC470902762E2409D88AC0D0380F009044B0C0402E931A3B72C03402E"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init1 = "5B2CCA328D8B09E276202762C2789C282CD8809D8A09E2702A2409C080902702025899277A0342C0640DC08990B0890A00D0341906411CB39224795C686980902408094080980A02E64190445500B09E24022240E80B9E03402024F1D7B3000B09E240202402C27890080900B09E276280809D8902C278900828E188E0348A03402C27892488D07B0901866018020250282702027E222B6A00B62136623B40D334161B78DD884D0340D03402E148E2350282502024E9D7B2840B09E34190649505502C279540B09CB3890086DCB00E1B72C22429244890D415CA10240B09E241A3B40DCB10282502CA102C2502CA102C278940B2840B0940B2840B09E2502E47"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1 .mem_init0 = "89CA1028278940B2B40A09E25024AD028278940B2880A09E2502CA2028278940BAB80A09E2523035030373CEE0CDCB334CC00E3B40DCB3A03B40D3B40DE34F2E340D0346E4368D037ED0372CC832CEA00D048ED0372CE80EDC340D3B68DDB72CE80ED0372CE80ED0372CC68EDCB32CCA3A04372C1B728C80123B60DCB3A803728048ED0372C40F0D2F0A0090282B0B00D0382F0A00A02CE34200342402424C0583004280A1032252B0488940C893A4084AC4E6AC24083010080340D030080902762034203480DC340DD880D024A00B05A07B0D0370D037090241D0741D0741906419064150541505411044110440902405014050170100401005030040140D43"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .lut_mask = 64'hC0C0000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y16_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~48 ( +// Location: LABCELL_X48_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 ( // Equation(s): -// \neorv32_top_inst|rdata_v~48_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~48_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~48 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~48 .lut_mask = 64'hAAAA0000A0A00000; -defparam \neorv32_top_inst|rdata_v~48 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 ( +// Location: LABCELL_X51_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .lut_mask = 64'h5555555511111111; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57 .lut_mask = 64'h11B1101011B10000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder ( +// Location: LABCELL_X43_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .lut_mask = 64'h00000000BBBBBBBB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] ( +// Location: FF_X43_Y14_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder ( +// Location: LABCELL_X43_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22 .lut_mask = 64'h0000000000004000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2] ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .prn(vcc)); +// Location: LABCELL_X43_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1 .lut_mask = 64'h0000000000800080; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder ( +// Location: LABCELL_X48_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] ( +// Location: FF_X48_Y18_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .power_up = "low"; // synopsys translate_on -// Location: M10K_X69_Y13_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), +// Location: M10K_X38_Y11_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), @@ -8205,1086 +16930,1123 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem .clr0(gnd), .clr1(gnd), .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10], -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X39_Y17_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder ( +// Location: FF_X39_Y16_N44 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .lut_mask = 64'h11DD11DD0303CFCF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] ( +// Location: FF_X39_Y17_N56 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .power_up = "low"; -// synopsys translate_on - -// Location: M10K_X76_Y14_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~49 ( +// Location: LABCELL_X40_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~49_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( ((!\neorv32_top_inst|rdata_v~48_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~48_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 )) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19] ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|rdata_v~48_combout ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~49_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~49 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~49 .lut_mask = 64'hF0F3F0F3F5F7F5F7; -defparam \neorv32_top_inst|rdata_v~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 ( +// Location: FF_X40_Y17_N55 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # -// (\neorv32_top_inst|rdata_v~49_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~49_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~30_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19] ) - .dataa(!\neorv32_top_inst|rdata_v~30_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|rdata_v~49_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .lut_mask = 64'h0F110F110FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] ( +// Location: FF_X46_Y16_N32 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[19] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 ( +// Location: LABCELL_X40_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [19]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .lut_mask = 64'hFF00FF000A000A00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .lut_mask = 64'h0101010145454545; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff ( +// Location: FF_X40_Y13_N28 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~27_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 ( +// Location: LABCELL_X42_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~67 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) +// \neorv32_top_inst|rdata_v~67_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [19]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), + .datae(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .combout(\neorv32_top_inst|rdata_v~67_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~67 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~67 .lut_mask = 64'hA0A0000080800000; +defparam \neorv32_top_inst|rdata_v~67 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 ( +// Location: LABCELL_X43_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]) ) ) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22 .lut_mask = 64'h0000000000000400; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X43_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .lut_mask = 64'h33FF33FF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .lut_mask = 64'h0000000002000200; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), +// Location: M10K_X49_Y11_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 ( +// Location: LABCELL_X43_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~68 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]) +// \neorv32_top_inst|rdata_v~68_combout = ( \neorv32_top_inst|rdata_v~67_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~67_combout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ) ) # ( \neorv32_top_inst|rdata_v~67_combout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~67_combout & +// ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|rdata_v~67_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .combout(\neorv32_top_inst|rdata_v~68_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .lut_mask = 64'h0A0A0A0A0A0A0A0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y13_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~68 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~68 .lut_mask = 64'hFFFF0303FFFF5757; +defparam \neorv32_top_inst|rdata_v~68 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 ( +// Location: MLABCELL_X47_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout = ( \neorv32_top_inst|rdata_v~68_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~68_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .lut_mask = 64'h00FF000000FFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE ( +// Location: FF_X47_Y13_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector7~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3] .power_up = "low"; // synopsys translate_on -// Location: FF_X67_Y13_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] ( +// Location: FF_X47_Y13_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~68_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 ( +// Location: MLABCELL_X47_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .lut_mask = 64'hFFFFFF00FF00FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y13_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector6~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .lut_mask = 64'h11D111D11DDD1DDD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 ( +// Location: LABCELL_X51_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~57_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~56_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .lut_mask = 64'hFFAAAAFFAAAAFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .lut_mask = 64'h0303535303F353F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] ( +// Location: FF_X51_Y14_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector5~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~58_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 ( +// Location: MLABCELL_X52_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] $ -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [19])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [4]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .lut_mask = 64'h05370526CDFF89AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .lut_mask = 64'hF8F7F8F7F0FFF0FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] ( +// Location: FF_X48_Y19_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector4~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1])) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [1]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [3]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y20_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .lut_mask = 64'h8080808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 ( +// Location: LABCELL_X46_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .lut_mask = 64'h5555DDDD55555D5D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY ( +// Location: FF_X50_Y18_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 ( +// Location: LABCELL_X48_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .lut_mask = 64'hF0F0FFFFFFFFF0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .lut_mask = 64'h0300F30003FFF3FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] ( +// Location: FF_X48_Y20_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 ( +// Location: FF_X52_Y22_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .lut_mask = 64'h0033003300BB00BB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .lut_mask = 64'h028A028A57DF57DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y13_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ( +// Location: FF_X48_Y20_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y10_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en ( +// Location: FF_X46_Y22_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 ( +// Location: LABCELL_X50_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .lut_mask = 64'h000F000F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y10_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] ( +// Location: FF_X50_Y20_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y21_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .lut_mask = 64'h00FF00FFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 ( +// Location: MLABCELL_X47_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .lut_mask = 64'h0F0FF0F00F0FF0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y21_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0 .lut_mask = 64'h0555055555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2 ( +// Location: LABCELL_X50_Y22_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2 .lut_mask = 64'h00000000F0F0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie ( +// Location: FF_X50_Y22_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1 ( +// Location: LABCELL_X50_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]))) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1 .lut_mask = 64'h00000000CCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .lut_mask = 64'h0537053705370537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0 ( +// Location: LABCELL_X50_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .lut_mask = 64'hFC00A80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 ( +// Location: LABCELL_X53_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout = ( \neorv32_top_inst|rdata_v~63_combout & ( \neorv32_top_inst|rdata_v~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~57_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~59_combout )))) ) ) -// ) # ( !\neorv32_top_inst|rdata_v~63_combout & ( \neorv32_top_inst|rdata_v~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout -// )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~57_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~59_combout ))))) ) ) ) # ( \neorv32_top_inst|rdata_v~63_combout & ( !\neorv32_top_inst|rdata_v~17_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~57_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~59_combout ))))) ) ) -// ) # ( !\neorv32_top_inst|rdata_v~63_combout & ( !\neorv32_top_inst|rdata_v~17_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & -// (\neorv32_top_inst|rdata_v~57_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~59_combout ))))) ) ) ) - - .dataa(!\neorv32_top_inst|rdata_v~57_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datad(!\neorv32_top_inst|rdata_v~59_combout ), - .datae(!\neorv32_top_inst|rdata_v~63_combout ), - .dataf(!\neorv32_top_inst|rdata_v~17_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .lut_mask = 64'h1013D0D31C1FDCDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .lut_mask = 64'hFFFF0055FFFF0F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] ( +// Location: FF_X53_Y20_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -9293,1543 +18055,1552 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start ( +// Location: LABCELL_X57_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .lut_mask = 64'h0000F0C000FFF0CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE ( +// Location: FF_X51_Y19_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [3]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X70_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .lut_mask = 64'h00330F3AF0A3FFAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .lut_mask = 64'h0000000000000404; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X52_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] ( +// Location: FF_X46_Y20_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y10_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder ( +// Location: LABCELL_X46_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y10_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] ( +// Location: FF_X53_Y20_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[4] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X52_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc -// [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .lut_mask = 64'h0C000CFF3F003FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1 ( +// Location: LABCELL_X48_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1 .lut_mask = 64'hF000F00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .lut_mask = 64'h028A028A57DF57DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[13] ( +// Location: FF_X48_Y20_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~55_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] ( +// Location: FF_X56_Y21_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [13]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [24] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0 .lut_mask = 64'h0000000000200020; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 ( +// Location: LABCELL_X48_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6] +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .lut_mask = 64'h0100010000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .lut_mask = 64'h404070704F4F7F7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q -// )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) -// ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y20_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .lut_mask = 64'h3030303075307530; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X52_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] ( +// Location: FF_X46_Y20_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~57_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 ( +// Location: MLABCELL_X47_Y22_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [17]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .lut_mask = 64'h03FF03FF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] ( +// Location: FF_X47_Y22_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~6_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y21_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder ( +// Location: MLABCELL_X47_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] ( +// Location: FF_X47_Y21_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 ( +// Location: LABCELL_X50_Y22_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .lut_mask = 64'h000C000C00C000C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X61_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [25]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .lut_mask = 64'h1000100000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X50_Y22_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .lut_mask = 64'h000000000F0C0C0C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 ( +// Location: MLABCELL_X47_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .lut_mask = 64'h0000000000000303; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1 ( +// Location: MLABCELL_X47_Y22_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1 .lut_mask = 64'h0010001000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .lut_mask = 64'hCC88C08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2 ( +// Location: LABCELL_X53_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2 .lut_mask = 64'h0000000000010001; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .lut_mask = 64'hFFFFFFFF05370537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 ( +// Location: FF_X53_Y20_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .lut_mask = 64'h0303030300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .lut_mask = 64'h000F000FAA8DAA8D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 ( +// Location: LABCELL_X53_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .lut_mask = 64'h000F000F000F000F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y9_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] ( +// Location: FF_X53_Y20_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y20_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .lut_mask = 64'h0C000C0000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 ( +// Location: LABCELL_X46_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .lut_mask = 64'h0050005000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 ( +// Location: LABCELL_X53_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .lut_mask = 64'h0000000000000101; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] ( +// Location: FF_X53_Y21_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE ( +// Location: FF_X46_Y20_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 ( +// Location: LABCELL_X46_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 ( +// Location: MLABCELL_X52_Y21_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .lut_mask = 64'h0000ECEC0000ECEC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .lut_mask = 64'hFF00FF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0] ) + ( VCC ) + ( !VCC )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), - .shareout()); +// Location: FF_X52_Y21_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 ( +// Location: LABCELL_X48_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8])) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .lut_mask = 64'h0000000000000A00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .lut_mask = 64'h00C030F00FCF3FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] ( +// Location: FF_X48_Y20_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y22_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 ( +// Location: LABCELL_X48_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .lut_mask = 64'h0000000002020202; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .lut_mask = 64'h000F000F333F333F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 ( +// Location: MLABCELL_X52_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .lut_mask = 64'hF000F00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1 .lut_mask = 64'h0000000000500050; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y16_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1 ( +// Location: LABCELL_X48_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1 .lut_mask = 64'h0000000003000300; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .lut_mask = 64'h052705278DAF8DAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1_combout )) ) ) +// Location: FF_X48_Y20_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X52_Y21_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2 .lut_mask = 64'h0000000000050005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 ( +// Location: LABCELL_X50_Y22_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .datac(gnd), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .lut_mask = 64'hA000A000A000A000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y22_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0 ( +// Location: LABCELL_X50_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0 .lut_mask = 64'hC000C00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y22_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y21_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0 ( +// Location: MLABCELL_X47_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal20~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0 .lut_mask = 64'h0000000080808080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y21_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1 ( +// Location: MLABCELL_X47_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1 .lut_mask = 64'h0303030300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 ( +// Location: FF_X47_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .lut_mask = 64'h0080008000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 ( +// Location: MLABCELL_X52_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .lut_mask = 64'hCCCCCCCCCCC0CCC0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .lut_mask = 64'hCCC0888000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 ( +// Location: LABCELL_X48_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout -// & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .lut_mask = 64'h0000FF0F4444FF4F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .lut_mask = 64'hFFFFFFFF222FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep ( +// Location: FF_X48_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder ( +// Location: LABCELL_X53_Y22_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X60_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .lut_mask = 64'h0008000800000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .lut_mask = 64'h11111111FF11CC11; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 ( +// Location: LABCELL_X53_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .lut_mask = 64'h00000000000A000A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy ( +// Location: FF_X53_Y22_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y20_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0 ( +// Location: LABCELL_X46_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout = ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0 .lut_mask = 64'h8F8F8F8F8F8F8F8F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), - .prn(vcc)); +// Location: LABCELL_X56_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]))) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]))) ) +// ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .lut_mask = 64'h272700002727FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE ( +// Location: FF_X56_Y21_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 ( +// Location: LABCELL_X50_Y22_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~2 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE ( +// Location: FF_X50_Y22_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 ( +// Location: MLABCELL_X47_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~114 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y11_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] ( +// Location: FF_X47_Y21_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0 ( +// Location: MLABCELL_X47_Y22_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0 .lut_mask = 64'h00000000000C000C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] ( +// Location: FF_X55_Y20_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -10837,1493 +19608,1817 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engin .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 ( +// Location: LABCELL_X53_Y22_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .lut_mask = 64'h5500330055FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .lut_mask = 64'h0A0A5F5F22227777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] ( +// Location: FF_X53_Y22_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~66_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y22_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0 ( +// Location: MLABCELL_X47_Y22_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .lut_mask = 64'h0033003355775577; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 ( +// Location: MLABCELL_X47_Y22_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .lut_mask = 64'hC8C8C80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2 ( +// Location: LABCELL_X51_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ), + .dataa(gnd), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2 .lut_mask = 64'h1111111111111111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 ( +// Location: LABCELL_X51_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout -// )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .lut_mask = 64'h00F000F011F111F1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .lut_mask = 64'hF1F1FFFFF1F1F1F1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] ( +// Location: FF_X51_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 ( +// Location: LABCELL_X51_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .lut_mask = 64'h082A082A5D7F5D7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .lut_mask = 64'h000000FFAAA0AAF5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 ( +// Location: FF_X48_Y19_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y20_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout -// & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .lut_mask = 64'h00AA00AA08AA08AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .lut_mask = 64'h272700002727FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] ( +// Location: FF_X56_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~8_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 ( +// Location: LABCELL_X45_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .lut_mask = 64'h0505050505050505; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .lut_mask = 64'h000055550F0F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] ( +// Location: FF_X51_Y20_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~2_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 ( +// Location: LABCELL_X48_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .lut_mask = 64'h0000A0A0FFFFA0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .lut_mask = 64'h20202A2A75757F7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y12_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend ( +// Location: FF_X48_Y20_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y21_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 ( +// Location: MLABCELL_X47_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .lut_mask = 64'h3337333700000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] ( +// Location: FF_X47_Y21_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[5] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X55_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .lut_mask = 64'h0555055500550055; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X55_Y11_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err ( +// Location: FF_X50_Y22_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 ( +// Location: LABCELL_X50_Y22_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .lut_mask = 64'h00CC00CC40CC40CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] ( +// Location: FF_X50_Y22_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 ( +// Location: LABCELL_X50_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .lut_mask = 64'h00CC00CC08CC08CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] ( +// Location: FF_X50_Y20_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 ( +// Location: LABCELL_X50_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf -// [7])) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout )) +// ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout )) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .lut_mask = 64'hC000C00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .lut_mask = 64'hAA00A00088008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 ( +// Location: LABCELL_X53_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout -// & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .lut_mask = 64'h00AA00AA02AA02AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[4] ( +// Location: FF_X53_Y20_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~7_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 ( +// Location: LABCELL_X53_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .lut_mask = 64'hC0C0C0C0C0C0C0C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .lut_mask = 64'hF1F1FFFFF1F1F1F1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y20_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2 ( +// Location: LABCELL_X53_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [10]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .lut_mask = 64'h00550055E0E5E0E5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire ( +// Location: FF_X51_Y21_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y20_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout ), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .lut_mask = 64'h0000000055555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .lut_mask = 64'h0000000005000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] ( +// Location: FF_X51_Y22_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y8_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] ( +// Location: FF_X51_Y22_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 ( +// Location: FF_X50_Y18_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .lut_mask = 64'h0A0AFFFFAAAAFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 ( +// Location: FF_X50_Y18_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]))) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .lut_mask = 64'h0000FFFF0000CCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .lut_mask = 64'h028A028A57DF57DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder ( +// Location: FF_X48_Y20_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .lut_mask = 64'h000055550F0F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y22_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 ( +// Location: MLABCELL_X47_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] ( +// Location: FF_X46_Y17_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 ( +// Location: LABCELL_X48_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11])))) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .lut_mask = 64'hC000F030CF0FFF3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .lut_mask = 64'h028A028A57DF57DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] ( +// Location: FF_X48_Y20_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~34_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y21_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 ( +// Location: MLABCELL_X47_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .lut_mask = 64'h0F0FFFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] ( +// Location: FF_X47_Y21_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 ( +// Location: LABCELL_X50_Y22_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [18] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .lut_mask = 64'hAFAFAFAFA0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X66_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .lut_mask = 64'h115F115F445F445F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y12_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched ( +// Location: FF_X50_Y22_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 ( +// Location: LABCELL_X48_Y22_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .lut_mask = 64'h333F333FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y10_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] ( +// Location: FF_X48_Y22_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 ( +// Location: LABCELL_X48_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout +// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .lut_mask = 64'hB0B0BFBF10101F1F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .lut_mask = 64'hC800C800C8000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 ( +// Location: LABCELL_X51_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .lut_mask = 64'h0F0F00000F0F0400; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .lut_mask = 64'hFFFFFFFF0537FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] ( +// Location: FF_X51_Y21_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 ( +// Location: LABCELL_X51_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .lut_mask = 64'h000F000F00550055; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .lut_mask = 64'h0A3B0A3B08390839; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) +// Location: FF_X50_Y21_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y20_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .lut_mask = 64'h000000000C000C00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1 ( +// Location: LABCELL_X46_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1 .lut_mask = 64'h0000000000030003; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 ( +// Location: LABCELL_X57_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) +// ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .lut_mask = 64'h0005000500000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .lut_mask = 64'h2200770022FF77FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 ( +// Location: FF_X57_Y21_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0] ) + ( !VCC -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0] ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~2_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .lut_mask = 64'h0000FF0000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .lut_mask = 64'h0303575703035757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 ( +// Location: FF_X47_Y21_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X61_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), - .sumout(), - .cout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .lut_mask = 64'h0000000000001010; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] ( +// Location: FF_X47_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE ( +// Location: FF_X50_Y22_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 ( +// Location: LABCELL_X50_Y22_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 +// )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y22_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y22_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .lut_mask = 64'h005500550055FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] ( +// Location: FF_X46_Y22_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 -// )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), - .shareout()); +// Location: FF_X46_Y20_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 ( +// Location: LABCELL_X50_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .lut_mask = 64'h0000004000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder ( +// Location: LABCELL_X55_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] ( +// Location: FF_X55_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -12332,418 +21427,529 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engin .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 ( +// Location: LABCELL_X57_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [3]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .lut_mask = 64'h5500330055FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .lut_mask = 64'h0030F0300F3FFF3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] ( +// Location: FF_X57_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~69_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y9_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi ( +// Location: FF_X52_Y21_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 ( +// Location: MLABCELL_X52_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .lut_mask = 64'h0500F50005FFF5FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .lut_mask = 64'hFCA8FCA800000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] ( +// Location: FF_X51_Y21_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~68_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 ( +// Location: LABCELL_X51_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]))) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) -// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .lut_mask = 64'h00305575003F557F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .lut_mask = 64'hFFFF5FFFFFFF7FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 ( +// Location: FF_X51_Y21_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .lut_mask = 64'h00000F0FAAA0AFA5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] ( +// Location: FF_X51_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~67_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 ( +// Location: FF_X46_Y20_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [3]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .lut_mask = 64'h0000000003000300; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .lut_mask = 64'h000000FFCCC0CCF3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] ( +// Location: FF_X48_Y21_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE ( +// Location: FF_X46_Y20_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 ( +// Location: LABCELL_X46_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1]~DUPLICATE_q ), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 ( +// Location: LABCELL_X57_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14])) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .lut_mask = 64'h000CC0CC333FF3FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] ( +// Location: FF_X57_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X53_Y20_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y21_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 ( +// Location: MLABCELL_X47_Y21_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~106 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] ( +// Location: FF_X47_Y21_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 ( +// Location: MLABCELL_X47_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .lut_mask = 64'h0000001000000013; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] ( +// Location: FF_X47_Y21_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -12751,4267 +21957,4271 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3 .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y6_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] ( +// Location: LABCELL_X50_Y22_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 +// )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y22_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .lut_mask = 64'h0001000000FD00F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 ( +// Location: LABCELL_X50_Y22_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .sumout(), - .cout(), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .lut_mask = 64'h0033003300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] ( +// Location: FF_X50_Y22_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 ( +// Location: LABCELL_X50_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout -// )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .lut_mask = 64'hA0A08080A0008000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 ( +// Location: MLABCELL_X52_Y23_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout -// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .lut_mask = 64'hFFFFFFFF0FFF5FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] ( +// Location: FF_X52_Y23_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~5_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 ( +// Location: LABCELL_X57_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .lut_mask = 64'h05053726FFAA3726; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y8_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .lut_mask = 64'h00AA0A0A55FF5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE ( +// Location: FF_X57_Y21_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~110 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y8_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] ( +// Location: FF_X50_Y20_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE ( +// Location: FF_X46_Y20_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 ( +// Location: LABCELL_X50_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y7_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), - .prn(vcc)); +// Location: LABCELL_X50_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .lut_mask = 64'hAA88A08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 ( +// Location: LABCELL_X53_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .lut_mask = 64'h04048C8C3737BFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .lut_mask = 64'hFFFFFFFF03570357; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] ( +// Location: FF_X53_Y20_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~58_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[5] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X62_Y6_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] ( +// Location: FF_X67_Y17_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 ( +// Location: LABCELL_X67_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5] -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [5]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .lut_mask = 64'h0000000000EC02EE; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .lut_mask = 64'h1111111101F101F1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] ( +// Location: FF_X67_Y17_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), +// Location: M10K_X58_Y16_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(vcc), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout }), + .portaaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(40'b0000000000000000000000000000000000000000), + .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_width = 5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_width = 40; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_last_address = 31; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_width = 5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_width = 40; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_last_address = 31; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X60_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 ( +// Location: LABCELL_X60_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE_q ), + .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~110 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y6_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 ( +// Location: LABCELL_X60_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~90 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y6_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 ( +// Location: LABCELL_X60_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .lut_mask = 64'h000000000000000A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~4_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret[0] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X60_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) -// Location: FF_X60_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q ), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0 ( +// Location: LABCELL_X60_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0 .lut_mask = 64'h5F5F5F5F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y6_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 ( +// Location: LABCELL_X60_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret -// [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [5]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .lut_mask = 64'h000000000000010B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 ( +// Location: LABCELL_X60_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout -// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~1_combout ), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .lut_mask = 64'h33337777FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), - .prn(vcc)); +// Location: LABCELL_X60_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 ( +// Location: LABCELL_X60_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .lut_mask = 64'h0C443F770C443F77; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~59_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), - .prn(vcc)); +// Location: LABCELL_X60_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 ( +// Location: LABCELL_X60_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~2_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), - .cin(gnd), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .lut_mask = 64'h111F111FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector111~3_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), - .prn(vcc)); +// Location: LABCELL_X60_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 ( +// Location: LABCELL_X60_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .lut_mask = 64'h00003333F0F0A3A3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder ( +// Location: LABCELL_X60_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux80~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y6_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[5] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X60_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) -// Location: FF_X63_Y6_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE_q ), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 ( +// Location: LABCELL_X60_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~94 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder ( +// Location: LABCELL_X60_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y7_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] ( +// Location: FF_X60_Y17_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] ( +// Location: FF_X60_Y17_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[6] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y6_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~98 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y7_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] ( +// Location: FF_X60_Y17_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE ( +// Location: FF_X60_Y17_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X60_Y17_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0 .lut_mask = 64'h0000000033333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y8_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] ( +// Location: FF_X60_Y17_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X60_Y17_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .lut_mask = 64'hAAAAAAAAAA8AAA88; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 ( +// Location: LABCELL_X56_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y10_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] ( +// Location: FF_X56_Y18_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8]~7_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder ( +// Location: LABCELL_X56_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] ( +// Location: FF_X56_Y18_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 ( +// Location: LABCELL_X56_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [8]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .lut_mask = 64'h082A082A5D7F5D7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] ( +// Location: FF_X56_Y18_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~42_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 ( +// Location: LABCELL_X56_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .lut_mask = 64'h000AA0AA555FF5FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] ( +// Location: FF_X56_Y18_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~41_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[8] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [8]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .lut_mask = 64'h000A000A005F005F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 ( +// Location: LABCELL_X60_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE ( +// Location: FF_X60_Y17_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 ( +// Location: LABCELL_X60_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE ( +// Location: FF_X60_Y17_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 ( +// Location: LABCELL_X56_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] ( +// Location: FF_X56_Y18_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[8] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X60_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), - .sumout(), - .cout(), - .shareout()); + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .lut_mask = 64'h0505050505FF05FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 ( +// Location: MLABCELL_X52_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .lut_mask = 64'h0000000000005050; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .lut_mask = 64'h0FFF0FFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] ( +// Location: FF_X52_Y15_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector0~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 ( +// Location: LABCELL_X62_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [8]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .lut_mask = 64'h0357035703570357; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0 .lut_mask = 64'h0F0F0F0FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout -// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ) # (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X63_Y17_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .lut_mask = 64'hAFEFFFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y10_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] ( +// Location: FF_X63_Y17_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector108~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 ( +// Location: LABCELL_X60_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~67_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~51_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~32_combout )) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~67_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ) # (\neorv32_top_inst|rdata_v~41_combout ) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~67_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~51_combout ))) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~32_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~67_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & \neorv32_top_inst|rdata_v~41_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datab(!\neorv32_top_inst|rdata_v~32_combout ), - .datac(!\neorv32_top_inst|rdata_v~51_combout ), - .datad(!\neorv32_top_inst|rdata_v~41_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|rdata_v~67_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .lut_mask = 64'h00551B1BAAFF1B1B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] ( +// Location: FF_X60_Y17_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 ( +// Location: LABCELL_X56_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .lut_mask = 64'h33BB00BB33880088; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE ( +// Location: FF_X56_Y18_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 ( +// Location: LABCELL_X61_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout = ( \neorv32_top_inst|rdata_v~49_combout & ( \neorv32_top_inst|rdata_v~30_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & -// ((\neorv32_top_inst|rdata_v~13_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~39_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ) ) ) ) -// # ( !\neorv32_top_inst|rdata_v~49_combout & ( \neorv32_top_inst|rdata_v~30_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & -// ((\neorv32_top_inst|rdata_v~13_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~39_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~49_combout & ( !\neorv32_top_inst|rdata_v~30_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~13_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~39_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~49_combout & ( !\neorv32_top_inst|rdata_v~30_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~13_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~39_combout )))) ) ) ) - - .dataa(!\neorv32_top_inst|rdata_v~39_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datad(!\neorv32_top_inst|rdata_v~13_combout ), - .datae(!\neorv32_top_inst|rdata_v~49_combout ), - .dataf(!\neorv32_top_inst|rdata_v~30_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .lut_mask = 64'h04C434F407C737F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] ( +// Location: FF_X61_Y19_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux59~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 ( +// Location: LABCELL_X62_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata -// [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) ) + ( !VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) ) + ( !VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ), - .sumout(), - .cout(), - .shareout()); + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .lut_mask = 64'h3E3E0E0E32320202; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .lut_mask = 64'h0000FF0F00000FF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .shared_arith = "on"; // synopsys translate_on -// Location: M10K_X76_Y13_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; -// synopsys translate_on +// Location: LABCELL_X62_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )) -// Location: FF_X61_Y13_N28 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X64_Y14_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X63_Y15_N28 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .lut_mask = 64'h00000F000000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X64_Y14_N58 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 ( +// Location: LABCELL_X62_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .lut_mask = 64'h22770A0A22775F5F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .lut_mask = 64'h00000C0C0000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X64_Y14_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder ( +// Location: LABCELL_X62_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X62_Y15_N55 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[9] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X62_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8])) -// Location: FF_X59_Y16_N29 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9]), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .lut_mask = 64'h00000F000000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .shared_arith = "on"; // synopsys translate_on -// Location: MLABCELL_X59_Y16_N27 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~46 ( +// Location: LABCELL_X62_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 ( // Equation(s): -// \neorv32_top_inst|rdata_v~46_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) - .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~46_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 )); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~46 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~46 .lut_mask = 64'hF0F00000A0A00000; -defparam \neorv32_top_inst|rdata_v~46 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .lut_mask = 64'h00000A0A0000A5A5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .shared_arith = "on"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~47 ( +// Location: LABCELL_X61_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~47_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 & ( \neorv32_top_inst|rdata_v~46_combout & ( -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout )) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 & ( \neorv32_top_inst|rdata_v~46_combout & ( -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 & ( !\neorv32_top_inst|rdata_v~46_combout ) ) # ( -// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 & ( !\neorv32_top_inst|rdata_v~46_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ), - .dataf(!\neorv32_top_inst|rdata_v~46_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~47_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~47 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~47 .lut_mask = 64'hFFFFFFFF05053737; -defparam \neorv32_top_inst|rdata_v~47 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[9] ( +// Location: FF_X61_Y17_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~47_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[9] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y11_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 ( +// Location: LABCELL_X62_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .lut_mask = 64'h000B000BFF0BFF0B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y11_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux28~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), - .prn(vcc)); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 ( +// Location: LABCELL_X61_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q -// ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .lut_mask = 64'h050436CDF5C4C6FD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y10_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y10_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod ( +// Location: FF_X61_Y17_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 ( +// Location: LABCELL_X56_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .lut_mask = 64'hAAFFAAFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] ( +// Location: FF_X56_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 ( +// Location: LABCELL_X62_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11])) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .lut_mask = 64'h00000000FF0FFF0F; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .lut_mask = 64'h000050500000A5A5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X68_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder ( +// Location: LABCELL_X61_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y15_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] ( +// Location: FF_X61_Y17_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder ( +// Location: LABCELL_X56_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y15_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] ( +// Location: FF_X56_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 )); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .lut_mask = 64'h00000F000000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder ( +// Location: LABCELL_X64_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] ( +// Location: FF_X64_Y17_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .power_up = "low"; // synopsys translate_on -// Location: M10K_X49_Y14_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); +// Location: LABCELL_X62_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21 ( +// Location: LABCELL_X64_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21 .lut_mask = 64'h0000000000AA00AA; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 ( +// Location: FF_X64_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X60_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0] & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .lut_mask = 64'h00FF00FF00550055; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: M10K_X58_Y18_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N16 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] ( +// Location: FF_X60_Y17_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y15_N4 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14])) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .lut_mask = 64'h000030300000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X61_Y13_N4 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] ( +// Location: LABCELL_X64_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y17_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y15_N41 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] ( +// Location: FF_X64_Y17_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 ( +// Location: LABCELL_X56_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1])) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .lut_mask = 64'h0F5533000F5533FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N8 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ( +// Location: FF_X56_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0 ( +// Location: LABCELL_X62_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15])) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0 .lut_mask = 64'h0002000200000000; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .lut_mask = 64'h00000F000000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0 ( +// Location: LABCELL_X62_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout & ( \neorv32_top_inst|io_wren~combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout ), - .dataf(!\neorv32_top_inst|io_wren~combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0 .lut_mask = 64'h0000000000000A0A; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N32 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1] ( +// Location: FF_X62_Y18_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder ( +// Location: LABCELL_X62_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 )); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .shared_arith = "on"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [1] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N58 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] ( +// Location: FF_X62_Y18_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1]~feeder_combout ), - .asdata(\~GND~combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y16_N53 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), - .prn(vcc)); +// Location: LABCELL_X62_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .lut_mask = 64'h00000A0A0000A5A5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder ( +// Location: LABCELL_X62_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y15_N41 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N59 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] ( +// Location: FF_X62_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y15_N23 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X62_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) -// Location: FF_X56_Y15_N38 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), - .prn(vcc)); + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .lut_mask = 64'h000000CC0000CC33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X56_Y15_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), - .prn(vcc)); +// Location: LABCELL_X62_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] ( +// Location: FF_X62_Y18_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder ( +// Location: LABCELL_X62_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .lut_mask = 64'h000000AA0000AA55; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X63_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 ( +// Location: LABCELL_X62_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .lut_mask = 64'hFFFF000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] ( +// Location: FF_X62_Y18_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y14_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] ( +// Location: FF_X62_Y18_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y14_N56 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] ( +// Location: LABCELL_X62_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 )); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .shared_arith = "on"; +// synopsys translate_on + +// Location: FF_X62_Y18_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 ( +// Location: LABCELL_X62_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8])) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .lut_mask = 64'h1B1B00AA1B1B55FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X64_Y14_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ( +// Location: FF_X62_Y18_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder ( +// Location: LABCELL_X62_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), - .datac(gnd), - .datad(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X62_Y15_N38 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] ( +// Location: FF_X62_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y15_N44 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] ( +// Location: FF_X62_Y18_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .power_up = "low"; // synopsys translate_on -// Location: M10K_X58_Y15_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 ( - .portawe(vcc), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(vcc), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain(10'b0000000000), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(10'b0000000000), - .portbaddr(10'b0000000000), - .portbbyteenamasks(1'b1), +// Location: FF_X60_Y17_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus ), - .portbdataout(), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .clk0_core_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .clk0_input_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .init_file_layout = "port_a"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .operation_mode = "rom"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 1023; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 1024; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_write_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init4 = "000000000000000000000000000000000000000000000000000009A070C6360D6314D946D192308B6101B32DC522CCF7059542D870148B4644901C8B7250A00407315800004741C002748431C1F30407358003058D31C8B7250A044C80019D624C062005605D323C110158174C8F04C05605873501923C993008B7448022001069C722DD40008B3100025CC707D1B47591344C371CC8059C00001F304167200176009005C800588004C800416064030551220011315976059660C0000003005D3314C80380001401314CA0000330D8E145C162DDC0058E2201831807994FD9960C13F77933DF9DC0CBDF4CF7C87117041D40C11445D3027531447304C3104431"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init3 = "3150125517277DDF77033771302DBDC0C9DF771906D3308C01045111C551445514451174CBDCCC19425436F703027530443314C711447304C310457960F110C4FDECCF7E35031A57554D3B0040339C113049DC0C1B044DDC0C3B08FDDE45DDF77030753F40F83377B33DFDDC8FBDF4CF7D07030C5FB13F9DC0C23F77D33DF1544431545715C571545514451144583C0C7174C09D4C311DC77277DDE77232F71978C17277BDC45D3027130CC712F71167703377232F71164CDDD4C31377232F71174C9DF95010651B4CC9DC44BDC0CBDC2CFDD05033F709D4C511CC130C43304431295010A5094C49D68C23075210041B04C114457154551445D30273146CBF04"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init2 = "C83377530C41119CBDDDC07377115DC570441174C09DDCA32658320509CC5FF04C8337705484FDECCF7FF7036F7032F7033F7033F70B377B33DF194FD03E0C01677030651B20F2D48C0154C130CC511C4511C4130CC110C4531CC5104C33044311C401205A146D3746D1F285E320CBDC653F40F832851B20C194FD13E0C2D4EC83C05715C5715C551545314C5314C51144511445314C531445D300781685030653F44F83075033773374C1B7DF07377116CCF7C441174C9DEF70B2F72313509D4C130C4110C40D4040100C11045115451174C09C4C3314C7114471315DDF841B0AD3F05DE3224613F7F5D0C9DD45114451150C1314593027816753F60C03C27B"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init1 = "D78CC338CFDE4CF7F7703377D33DF9D4ACDDC0CBDECCF7C074346C21CEC0310723FEC4FE453B0C43308F61C6D314CD130CD3B00C0308FC13555B7CC9545C21D8721C6C61D0C41C07E304F23D1C21E4CF7D070304501EF5430871B1FC9D68C21FCCF7D8723D07B33DF41C0C21FCCF7EF761C0CDDC07933DF054A4E54854308563007F33DF2158C4170C414256148703007B3007033450566561F77173777F06C17015653E4BDD5C2955C13027F1C9543007B3087031948568421F6CF7C042110C21007C33D721ECCA33DF1D5FD830657F60C014750341401C078110761E4CF7C653F40F83107B3087E3007A3187E3107D33DF01F0C21E8C41F0C61ECCF7C87F7F"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init0 = "D783107F33DF01E0D21F4CF7D8703507D33DF41E0C21E4CF7C87A3007933DF41F0D61E4CF7C65030F503205A147C83205814653F40F832853B40F3B57CF30A5E900C05CE5811EC033D70FE0481605A140C194FD13E0CA14ED1301F3F5CC9BE0CA14ED03E0CA14ED03E0C9D4FD83205816853B20503E05814653F40F8328503205194FD03E0C3D4EC5154551545715C5715C55154511445F3C6583C2581C45D3005130C4110150100505404054040D01401405014451174C01C851549501C0C033F7330453566CD324EBDC843B0851B095397A491B8491B6C0780C030040100C030040100C030040100C03004010040100C03004010EC9384C938B50900625415"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~7 ( +// Location: LABCELL_X62_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 ( // Equation(s): -// \neorv32_top_inst|rdata_v~7_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23])) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~7_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 )); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~7 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~7 .lut_mask = 64'hFF000000CC000000; -defparam \neorv32_top_inst|rdata_v~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .lut_mask = 64'h000030300000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .shared_arith = "on"; // synopsys translate_on -// Location: M10K_X49_Y15_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X62_Y18_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~8 ( +// Location: LABCELL_X62_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 ( // Equation(s): -// \neorv32_top_inst|rdata_v~8_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( ((!\neorv32_top_inst|rdata_v~7_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~7_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout -// )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .datad(!\neorv32_top_inst|rdata_v~7_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~8_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 )); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .shared_arith = "on"; +// synopsys translate_on + +// Location: FF_X62_Y18_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X60_Y17_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~8 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~8 .lut_mask = 64'hFF03FF03FF57FF57; -defparam \neorv32_top_inst|rdata_v~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 ( +// Location: LABCELL_X62_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~8_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~10_combout ))) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ) # (\neorv32_top_inst|rdata_v~6_combout ) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~8_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~10_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~4_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & \neorv32_top_inst|rdata_v~6_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25])) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datab(!\neorv32_top_inst|rdata_v~8_combout ), - .datac(!\neorv32_top_inst|rdata_v~10_combout ), - .datad(!\neorv32_top_inst|rdata_v~6_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|rdata_v~4_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .lut_mask = 64'h00552727AAFF2727; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .lut_mask = 64'h000030300000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X55_Y13_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] ( +// Location: FF_X62_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), .clrn(vcc), .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X60_Y17_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 ( +// Location: LABCELL_X62_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26])) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .lut_mask = 64'h00000C0C30303C3C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .lut_mask = 64'h000030300000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .shared_arith = "on"; // synopsys translate_on -// Location: M10K_X69_Y11_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(vcc), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({gnd,gnd,gnd,gnd,gnd,gnd,gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout }), - .portaaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), - .portabyteenamasks(1'b1), - .portbdatain(40'b0000000000000000000000000000000000000000), - .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X62_Y18_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_width = 5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_width = 40; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_last_address = 31; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_width = 5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_width = 40; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_last_address = 31; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 ( +// Location: FF_X60_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) -// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27])) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .lut_mask = 64'h0504AF8C37C9BF63; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .lut_mask = 64'h00000F000000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 ( +// Location: FF_X62_Y18_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout )) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .lut_mask = 64'h11111111B1B1B1B1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 ( +// Location: FF_X62_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .lut_mask = 64'h000000000C0C0C0C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .lut_mask = 64'h0000222200009999; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 ( +// Location: FF_X62_Y18_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 = +// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), - .datad(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), - .sumout(), - .cout(), - .shareout()); + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 )); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .lut_mask = 64'h000000F00000F00F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .shared_arith = "on"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 ( +// Location: FF_X62_Y18_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X60_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .lut_mask = 64'hBBBBBBBBFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE ( +// Location: FF_X60_Y17_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 ( +// Location: LABCELL_X62_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout = SUM(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 = CARRY(( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 = +// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31])) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), + .dataf(gnd), .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), - .sumout(), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), + .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 )); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .lut_mask = 64'h000030300000C3C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .shared_arith = "on"; +// synopsys translate_on + +// Location: LABCELL_X62_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout = SUM(( VCC ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), + .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .shared_arith = "on"; // synopsys translate_on -// Location: FF_X66_Y7_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] ( +// Location: FF_X63_Y17_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 ( +// Location: LABCELL_X64_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] ) + ( VCC ) + +// ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] ) + ( VCC ) + ( +// !VCC )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), - .datad(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .lut_mask = 64'h000000000000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 ( +// Location: LABCELL_X64_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .lut_mask = 64'hCE02CE02DF13DF13; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] ( +// Location: FF_X64_Y17_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 ( +// Location: LABCELL_X64_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .lut_mask = 64'h3303330333F333F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] ( +// Location: FF_X62_Y15_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 ( +// Location: LABCELL_X60_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2 .lut_mask = 64'h0000FFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 ( +// Location: LABCELL_X61_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .lut_mask = 64'h2727272727272727; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 ( +// Location: LABCELL_X61_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .lut_mask = 64'h1111111101F101F1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y11_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~1_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 ( +// Location: LABCELL_X61_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] ) + ( VCC ) + -// ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] ) + ( VCC ) + ( -// !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .lut_mask = 64'h000000000000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X69_Y10_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 ( +// Location: M10K_X58_Y15_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 ( .portawe(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout ), .portare(vcc), .portaaddrstall(gnd), @@ -17034,13582 +26244,13203 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|r \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout }), +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout }), .portaaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), .portabyteenamasks(1'b1), .portbdatain(40'b0000000000000000000000000000000000000000), - .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q , -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21], -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q }), + .portbaddr({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout , +\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .portbdataout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__2|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_address_width = 5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_data_width = 40; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_last_address = 31; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_address_width = 5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_data_width = 40; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_last_address = 31; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_cpu:neorv32_cpu_inst|neorv32_cpu_regfile:neorv32_cpu_regfile_inst|altsyncram:reg_file[0][31]__1|altsyncram_u2n1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_address_width = 5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_data_width = 40; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_last_address = 31; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_address_width = 5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_data_width = 40; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_last_address = 31; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 ( +// Location: LABCELL_X61_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) + ( VCC ) + -// ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) + ( VCC ) + ( -// !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .lut_mask = 64'h000000000000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 ( +// Location: LABCELL_X61_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 ( +// Location: LABCELL_X61_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~106 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 ( +// Location: MLABCELL_X65_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 ( +// Location: MLABCELL_X65_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 ( +// Location: MLABCELL_X65_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 ( +// Location: MLABCELL_X65_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 ( +// Location: MLABCELL_X65_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 ( +// Location: MLABCELL_X65_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 ( +// Location: MLABCELL_X65_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 ( +// Location: LABCELL_X63_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .lut_mask = 64'h0000FFFF0000AAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 ( +// Location: LABCELL_X63_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 ( +// Location: LABCELL_X63_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 ( +// Location: MLABCELL_X65_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 ( +// Location: MLABCELL_X65_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 ( +// Location: LABCELL_X63_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 ( +// Location: LABCELL_X63_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 ( +// Location: LABCELL_X63_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .lut_mask = 64'h0000FFFF0000CCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 ( +// Location: LABCELL_X63_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 ( +// Location: LABCELL_X63_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 ( +// Location: LABCELL_X63_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~54 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 ( +// Location: LABCELL_X63_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 ( +// Location: LABCELL_X63_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 ( +// Location: LABCELL_X63_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .lut_mask = 64'h0000FFFF0000CCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 ( +// Location: LABCELL_X63_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 ( +// Location: LABCELL_X62_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 ( +// Location: LABCELL_X62_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 ( +// Location: LABCELL_X62_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 ( +// Location: LABCELL_X60_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .lut_mask = 64'h0A0A0A0A0A0A0A0A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 )) - +// Location: LABCELL_X61_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout = CARRY(( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ))) ) + ( VCC ) + ( !VCC )) + .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~118 ), + .cin(gnd), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), + .sumout(), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .lut_mask = 64'h000000000000030C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 ( +// Location: LABCELL_X61_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~122 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), - .cout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .lut_mask = 64'h0000FF0000001E4B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 ( +// Location: LABCELL_X60_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .lut_mask = 64'h0F0F0F0FF000F000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .lut_mask = 64'h111B111BBB1BBB1B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 ( +// Location: FF_X60_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X60_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datae(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .lut_mask = 64'hFFF0FFF0FFF0FFF0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0 .lut_mask = 64'h0F0FFFFF0F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y12_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] ( +// Location: FF_X62_Y14_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] ( +// Location: FF_X62_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] ( +// Location: FF_X62_Y14_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] ( +// Location: FF_X63_Y16_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] ( +// Location: FF_X63_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] ( +// Location: FF_X63_Y14_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] ( +// Location: FF_X63_Y14_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] ( +// Location: FF_X63_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] ( +// Location: FF_X63_Y15_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[20] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~45_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y13_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] ( +// Location: FF_X63_Y15_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[18] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X71_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~41_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .power_up = "low"; // synopsys translate_on -// Location: FF_X71_Y13_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] ( +// Location: FF_X63_Y16_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[17] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X68_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~37_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y12_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] ( +// Location: FF_X63_Y16_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[16] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~81_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] ( +// Location: FF_X63_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[13] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X71_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~77_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X71_Y13_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] ( +// Location: FF_X65_Y17_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~69_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X65_Y17_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] ( +// Location: FF_X63_Y15_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~65_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X63_Y15_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] ( +// Location: FF_X63_Y15_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~93_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X65_Y17_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .power_up = "low"; // synopsys translate_on -// Location: FF_X71_Y13_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] ( +// Location: FF_X65_Y17_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), - .shareout()); +// Location: FF_X65_Y17_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), - .shareout()); +// Location: FF_X65_Y17_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), - .shareout()); +// Location: FF_X65_Y17_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), - .shareout()); +// Location: FF_X65_Y17_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), - .shareout()); +// Location: FF_X65_Y17_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), - .shareout()); +// Location: FF_X61_Y18_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), - .shareout()); +// Location: FF_X61_Y18_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), - .shareout()); +// Location: FF_X61_Y18_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), - .shareout()); +// Location: FF_X61_Y18_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), - .shareout()); +// Location: FF_X61_Y18_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), - .shareout()); +// Location: FF_X61_Y18_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), - .shareout()); +// Location: FF_X61_Y14_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), - .shareout()); +// Location: FF_X60_Y14_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), - .shareout()); +// Location: FF_X61_Y15_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), - .shareout()); +// Location: FF_X61_Y15_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), - .shareout()); +// Location: FF_X61_Y16_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) +// Location: FF_X61_Y16_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), - .shareout()); +// Location: FF_X61_Y16_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 ( +// Location: LABCELL_X61_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 ( +// Location: LABCELL_X61_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 ( +// Location: LABCELL_X61_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 ( +// Location: LABCELL_X61_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 ( +// Location: LABCELL_X61_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .lut_mask = 64'h0000FFFF0000AAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 ( +// Location: LABCELL_X61_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 ( +// Location: LABCELL_X61_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 ( +// Location: LABCELL_X61_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .lut_mask = 64'h0000F906000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 ( +// Location: LABCELL_X61_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 ( +// Location: LABCELL_X61_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .lut_mask = 64'h0000FFFF0000CCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 ( +// Location: LABCELL_X61_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0 ( +// Location: LABCELL_X61_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0 .lut_mask = 64'h0F0F0F0FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 ( +// Location: LABCELL_X61_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 ( +// Location: LABCELL_X61_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .lut_mask = 64'h10DC13DF10DC13DF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 ( +// Location: LABCELL_X61_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 ( +// Location: LABCELL_X61_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .lut_mask = 64'h03050305F3F5F3F5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .lut_mask = 64'h0000EB14000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 ( +// Location: LABCELL_X61_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) ) ) ) -// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .lut_mask = 64'h02021313CECEDFDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 ( +// Location: LABCELL_X61_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .lut_mask = 64'h0000FF00000014EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 ( +// Location: LABCELL_X61_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .lut_mask = 64'h01230123CDEFCDEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .lut_mask = 64'h0000F906000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 ( +// Location: LABCELL_X61_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 ( +// Location: LABCELL_X61_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 ( +// Location: LABCELL_X61_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y11_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .lut_mask = 64'h0000F906000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 ( +// Location: LABCELL_X61_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .lut_mask = 64'h0A4E0A4E1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 ( +// Location: LABCELL_X61_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .lut_mask = 64'h05110511AFBBAFBB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y10_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 ( +// Location: LABCELL_X61_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]))) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .lut_mask = 64'h0050005FFF50FF5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y10_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 ( +// Location: LABCELL_X61_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .lut_mask = 64'h0000F906000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 ( +// Location: LABCELL_X61_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout = SUM(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 = CARRY(( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .lut_mask = 64'h0000F906000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 ( +// Location: LABCELL_X61_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .lut_mask = 64'h02CE02CE13DF13DF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 ( +// Location: LABCELL_X61_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .lut_mask = 64'h01230123CDEFCDEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 ( +// Location: LABCELL_X61_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .lut_mask = 64'h0C1D0C1D2E3F2E3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .lut_mask = 64'h0000FF00000006F9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 ( +// Location: LABCELL_X61_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .lut_mask = 64'h01230123CDEFCDEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .lut_mask = 64'h0000FF0000003663; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 ( +// Location: LABCELL_X61_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout = SUM(( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ))))) ) + ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .lut_mask = 64'h02130213CEDFCEDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X73_Y10_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .lut_mask = 64'h0000FFF000000356; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 ( +// Location: LABCELL_X61_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .lut_mask = 64'h01230123CDEFCDEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .lut_mask = 64'h000A000A555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y10_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] ( +// Location: FF_X61_Y14_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 ( +// Location: LABCELL_X61_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X74_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout )) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .lut_mask = 64'h0300F3F00303F3F3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y12_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] ( +// Location: FF_X61_Y14_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder ( +// Location: LABCELL_X61_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [62]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~1_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y12_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] ( +// Location: FF_X61_Y14_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[0] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) ) + ( !VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31] $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) ) + ( !VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [0]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .lut_mask = 64'h0000FF33000033CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder ( +// Location: LABCELL_X60_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y12_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE ( +// Location: FF_X60_Y14_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: FF_X74_Y10_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder ( +// Location: LABCELL_X60_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~113_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .lut_mask = 64'h00003333CCCCFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y13_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] ( +// Location: FF_X60_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[1] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1])) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [1]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~2 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~3 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder ( +// Location: LABCELL_X60_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .lut_mask = 64'h0000CCCC3333FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] ( +// Location: FF_X60_Y14_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder ( +// Location: LABCELL_X60_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [58]) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~105_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .lut_mask = 64'h0C0C3F3F0C0C3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y11_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] ( +// Location: FF_X60_Y14_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2])) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [2]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~114 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~115 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 )); +// Location: FF_X60_Y14_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder ( +// Location: LABCELL_X60_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .lut_mask = 64'h03030303CFCFCFCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] ( +// Location: FF_X60_Y14_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder ( +// Location: LABCELL_X60_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~109_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .lut_mask = 64'h00000F0FF0F0FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y13_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] ( +// Location: FF_X60_Y14_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 ( +// Location: LABCELL_X61_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [3]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~106 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~107 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109 .shared_arith = "on"; -// synopsys translate_on - -// Location: LABCELL_X74_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] ( +// Location: FF_X61_Y14_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder ( +// Location: FF_X61_Y14_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X61_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~89_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y13_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] ( +// Location: FF_X61_Y14_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4])) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [4]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~110 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~111 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder ( +// Location: LABCELL_X60_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .lut_mask = 64'h00330033CCFFCCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] ( +// Location: FF_X60_Y14_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [5]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~90 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~91 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .lut_mask = 64'h000000AA0000AA55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder ( +// Location: LABCELL_X60_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .lut_mask = 64'h00000F0FF0F0FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] ( +// Location: FF_X60_Y14_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder ( +// Location: LABCELL_X61_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ))) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~97_sumout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .lut_mask = 64'h0A5F0A5F0A5F0A5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y13_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] ( +// Location: FF_X61_Y14_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[6] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6])) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [6]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~94 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~95 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .lut_mask = 64'h000030300000C3C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder ( +// Location: LABCELL_X61_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] ( +// Location: FF_X61_Y15_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder ( +// Location: LABCELL_X61_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ))) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~101_sumout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .lut_mask = 64'h0A5F0A5F0A5F0A5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] ( +// Location: FF_X61_Y15_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[7] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [7]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~98 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~99 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .lut_mask = 64'h000055000000AA55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder ( +// Location: LABCELL_X61_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [48]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .lut_mask = 64'h00AA00AA55FF55FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE ( +// Location: FF_X61_Y15_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder ( +// Location: LABCELL_X61_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~61_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y13_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] ( +// Location: FF_X61_Y15_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 ( +// Location: LABCELL_X61_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [46]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [8]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~102 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~103 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61 .shared_arith = "on"; -// synopsys translate_on - -// Location: LABCELL_X74_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] ( +// Location: FF_X61_Y15_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [9]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~62 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~63 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .lut_mask = 64'h00000A0A0000A5A5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder ( +// Location: LABCELL_X61_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [45]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~65_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] ( +// Location: FF_X61_Y15_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [10]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~66 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[9]~67 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .lut_mask = 64'h00000A0A0000A5A5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder ( +// Location: LABCELL_X61_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~69_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .lut_mask = 64'h11111111BBBBBBBB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE ( +// Location: FF_X61_Y15_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y9_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] ( +// Location: LABCELL_X61_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X61_Y15_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder ( +// Location: LABCELL_X61_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod +// [42]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~73_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y12_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] ( +// Location: FF_X61_Y15_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[11] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11])) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [11]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~70 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[10]~71 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder ( +// Location: LABCELL_X61_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~73_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE ( +// Location: FF_X61_Y15_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] ( +// Location: LABCELL_X61_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ))) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .lut_mask = 64'h0C3F0C3F0C3F0C3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X61_Y16_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[11] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [12]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~74 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[11]~75 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .lut_mask = 64'h000000AA0000AA55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder ( +// Location: LABCELL_X61_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~77_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y10_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] ( +// Location: FF_X61_Y16_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[12] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~78 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[12]~79 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder ( +// Location: LABCELL_X61_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~81_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .lut_mask = 64'h0C0C0C0C3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE ( +// Location: FF_X61_Y16_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder ( +// Location: LABCELL_X61_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~85_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .lut_mask = 64'h0C0C0C0C3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y13_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] ( +// Location: FF_X61_Y16_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 ( +// Location: LABCELL_X61_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout = +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ))) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [14]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~82 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[13]~83 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .lut_mask = 64'h000030300000C3C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .lut_mask = 64'h0C3F0C3F0C3F0C3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder ( +// Location: FF_X61_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X61_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~85_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .lut_mask = 64'h00CC00CC33FF33FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] ( +// Location: FF_X61_Y16_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X61_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .lut_mask = 64'h03030303CFCFCFCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE ( +// Location: FF_X61_Y16_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder ( +// Location: LABCELL_X60_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33] ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~33_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .lut_mask = 64'h0F0F00000F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y11_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] ( +// Location: FF_X60_Y14_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X62_Y15_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(vcc), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15])) +// Location: FF_X62_Y15_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [15]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~86 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[14]~87 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 )); +// Location: FF_X52_Y15_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .lut_mask = 64'h000050500000A5A5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder ( +// Location: LABCELL_X62_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]))) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~33_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y12_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0 .lut_mask = 64'h50F050F05FFF5FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 ( +// Location: LABCELL_X62_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )))) ) + ( VCC ) + +// ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q )))) ) + ( VCC ) + +// ( !VCC )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~34 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[15]~35 ), + .cin(gnd), + .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 )); + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .lut_mask = 64'h000000000000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder ( +// Location: LABCELL_X64_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~37_sumout ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .lut_mask = 64'h00CC0C0C33FF3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), - .prn(vcc)); +// Location: LABCELL_X64_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE_q +// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE_q ) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .lut_mask = 64'h000355570F035F57; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 ( +// Location: LABCELL_X57_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [17]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~38 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[16]~39 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .lut_mask = 64'h0000000000A000A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder ( +// Location: LABCELL_X62_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout = -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~41_sumout ), + .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .lut_mask = 64'hFFFF000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y12_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), - .prn(vcc)); +// Location: LABCELL_X57_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .lut_mask = 64'h00325A79C8FAD6F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 ( +// Location: LABCELL_X57_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~42 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[17]~43 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .lut_mask = 64'h000F000FCC0FCC0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder ( +// Location: MLABCELL_X47_Y12_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout = ( \neorv32_top_inst|rdata_v~32_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (\neorv32_top_inst|rdata_v~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((\neorv32_top_inst|rdata_v~10_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) ) ) ) +// # ( !\neorv32_top_inst|rdata_v~32_combout & ( \neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # +// (\neorv32_top_inst|rdata_v~10_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~32_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )) # (\neorv32_top_inst|rdata_v~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~10_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~32_combout & ( !\neorv32_top_inst|rdata_v~34_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~2_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((\neorv32_top_inst|rdata_v~10_combout ))))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~45_sumout ), + .dataa(!\neorv32_top_inst|rdata_v~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datac(!\neorv32_top_inst|rdata_v~10_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datae(!\neorv32_top_inst|rdata_v~32_combout ), + .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .lut_mask = 64'h470047CC473347FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y12_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] ( +// Location: FF_X47_Y12_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux61~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[0] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder ( +// Location: LABCELL_X53_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~49_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y12_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .lut_mask = 64'h00000C0C30303C3C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 ( +// Location: LABCELL_X57_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~46 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[18]~47 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .lut_mask = 64'h00000F000000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .lut_mask = 64'h0000000030303030; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder ( +// Location: LABCELL_X56_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~49_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1 .lut_mask = 64'h0000FFFF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] ( +// Location: FF_X56_Y13_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[29]~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[19] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [20]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~50 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[19]~51 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .lut_mask = 64'h000000CC0000CC33; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X73_Y12_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] ( +// Location: FF_X57_Y18_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 ( +// Location: LABCELL_X57_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~54 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~55 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57 .shared_arith = "on"; -// synopsys translate_on - -// Location: FF_X73_Y12_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), - .prn(vcc)); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 ( +// Location: LABCELL_X55_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~58 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~59 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~38 ), + .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5 .shared_arith = "on"; -// synopsys translate_on - -// Location: FF_X73_Y12_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21]~DUPLICATE_q ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[22] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X72_Y12_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), - .prn(vcc)); + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 ( +// Location: LABCELL_X55_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [23]), - .datad(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~6 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[22]~7 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), + .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 )); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .lut_mask = 64'h000030300000C3C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9 .shared_arith = "on"; -// synopsys translate_on - -// Location: FF_X73_Y12_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[23] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X72_Y12_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), - .prn(vcc)); + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 ( +// Location: LABCELL_X55_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [24]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~10 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[23]~11 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), + .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 )); + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .lut_mask = 64'h000030300000C3C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), - .prn(vcc)); +// Location: LABCELL_X60_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 ( +// Location: LABCELL_X56_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~14 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[24]~15 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] ( +// Location: FF_X56_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 ( +// Location: MLABCELL_X52_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [26]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .lut_mask = 64'h00005555E0E0E5E5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~18 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~19 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .lut_mask = 64'h000000CC0000CC33; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .lut_mask = 64'hC050CF5FC050CF5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] ( +// Location: FF_X57_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y12_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] ( +// Location: FF_X48_Y22_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add0~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|coprocessor_ctrl~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 ( +// Location: LABCELL_X48_Y22_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 = -// SHARE((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [20] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [27]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~22 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[26]~23 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .lut_mask = 64'h000055000000AA55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ), - .prn(vcc)); +// Location: MLABCELL_X47_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .lut_mask = 64'h00000000A000A000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 ( +// Location: MLABCELL_X47_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ))) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [28]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~26 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~27 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .lut_mask = 64'h000000CC0000CC33; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .lut_mask = 64'h030F030F000C000C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] ( +// Location: FF_X47_Y16_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27]~DUPLICATE_q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 ( +// Location: MLABCELL_X52_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~30 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[28]~31 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .lut_mask = 64'h000000AA0000AA55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .lut_mask = 64'h5F5F5F5FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] ( +// Location: FF_X60_Y20_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 ( +// Location: LABCELL_X57_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14 .lut_mask = 64'h0F0FFFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~118 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~119 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .lut_mask = 64'h000000F00000F00F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] ( +// Location: FF_X52_Y14_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 ( +// Location: LABCELL_X57_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout = SUM(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 = CARRY(( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 = -// SHARE((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_abs [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~122 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[30]~123 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), - .shareout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 )); + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .lut_mask = 64'h00000C0C0000C3C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15 .lut_mask = 64'h5555FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 ( +// Location: LABCELL_X53_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout = SUM(( VCC ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~126 ), - .sharein(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~127 ), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .lut_mask = 64'h000000000000FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1 .shared_arith = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 ( +// Location: FF_X53_Y15_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q & ( +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .lut_mask = 64'hFFFFF0F0D8FC0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ) + + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~1_sumout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector80~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .lut_mask = 64'hBBBB1111AAFF0055; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] ( +// Location: FF_X48_Y16_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 ( +// Location: LABCELL_X55_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branched~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .lut_mask = 64'h03000300F3FFF3FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .lut_mask = 64'h333F333FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder ( +// Location: FF_X59_Y15_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16 .lut_mask = 64'h5F5F5F5F50505050; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder ( +// Location: LABCELL_X53_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder ( +// Location: FF_X53_Y15_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17 .lut_mask = 64'h0000FFFFF0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder ( +// Location: FF_X57_Y17_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18 .lut_mask = 64'h0000FFFFAAAAAAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder ( +// Location: LABCELL_X53_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder ( +// Location: FF_X53_Y15_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder ( +// Location: LABCELL_X51_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40 .lut_mask = 64'h0000000000300030; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder ( +// Location: LABCELL_X48_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1 .lut_mask = 64'h0000CCCC00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder ( +// Location: LABCELL_X50_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41 .lut_mask = 64'h00550055F0F5F0F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder ( +// Location: LABCELL_X51_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~41_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42 .lut_mask = 64'h11111111450045FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder ( +// Location: LABCELL_X51_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~42_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44 .lut_mask = 64'h00550055F0F5F0F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder ( +// Location: LABCELL_X51_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40_combout ))) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~40_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~44_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45 .lut_mask = 64'h05550555FF55FF55; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder ( +// Location: FF_X51_Y13_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[15]~45_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X53_Y15_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) # +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .lut_mask = 64'h00FF00FF0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder ( +// Location: LABCELL_X53_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder ( +// Location: FF_X53_Y15_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder ( +// Location: FF_X53_Y15_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .lut_mask = 64'h0000C693000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder ( +// Location: LABCELL_X57_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~23_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .lut_mask = 64'h0000C693000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder ( +// Location: LABCELL_X57_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .lut_mask = 64'h0000C693000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder ( +// Location: FF_X55_Y15_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) ) ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24 .lut_mask = 64'h00FF00FF0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~24 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~18_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .lut_mask = 64'h0000C693000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~17_combout ), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .lut_mask = 64'h0000FF000000396C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder ( +// Location: LABCELL_X57_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~16_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .lut_mask = 64'h0000C693000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder ( +// Location: LABCELL_X57_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~15_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder ( +// Location: LABCELL_X57_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~14_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder ( +// Location: LABCELL_X56_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .lut_mask = 64'hFF55FF55AA00AA00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder ( +// Location: FF_X56_Y14_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder ( +// Location: LABCELL_X55_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder ( +// Location: FF_X48_Y21_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder ( +// Location: FF_X45_Y19_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .lut_mask = 64'h80A280A2D5F7D5F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder ( +// Location: FF_X48_Y20_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]))) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .lut_mask = 64'h2727272727272727; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder ( +// Location: FF_X55_Y19_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .lut_mask = 64'hFFFF0F0FF0F00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder ( +// Location: FF_X57_Y17_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y7_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder ( +// Location: LABCELL_X55_Y21_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 ( +// Location: FF_X55_Y21_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout = CARRY(( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ))) ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), .sumout(), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .lut_mask = 64'h0000000000001212; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .lut_mask = 64'h000F000F333F333F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~134_cout ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), - .shareout()); +// Location: FF_X46_Y19_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .lut_mask = 64'h0000FF0000001E2D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start ( +// Location: MLABCELL_X59_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11 .lut_mask = 64'h0F0F0000FFFFF0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 ( +// Location: MLABCELL_X59_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~129_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .lut_mask = 64'h03550355CF55CF55; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] ( +// Location: FF_X59_Y15_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~32_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0 ( +// Location: LABCELL_X57_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout = -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0 .lut_mask = 64'h0FFF0FFF0FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12 .lut_mask = 64'h0000FFFFF0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[30] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X55_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] ) -// Location: FF_X74_Y7_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] ( +// Location: FF_X55_Y14_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y7_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] ( +// Location: FF_X59_Y14_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y7_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), - .prn(vcc)); +// Location: MLABCELL_X59_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13 .lut_mask = 64'h00F000F0FFF0FFF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), - .prn(vcc)); +// Location: LABCELL_X53_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] ( +// Location: FF_X53_Y16_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), - .prn(vcc)); +// Location: LABCELL_X57_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~13_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y7_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), - .prn(vcc)); +// Location: LABCELL_X57_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~12_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y7_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), - .prn(vcc)); +// Location: LABCELL_X57_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE_q ))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE_q ))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~11_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~DUPLICATE_q ), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), - .prn(vcc)); +// Location: LABCELL_X57_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] ( +// Location: FF_X57_Y14_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X73_Y7_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), - .prn(vcc)); +// Location: LABCELL_X53_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .lut_mask = 64'hD0D0DFDF10101F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y7_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] ( +// Location: FF_X53_Y17_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y7_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), - .prn(vcc)); +// Location: MLABCELL_X52_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .lut_mask = 64'hFF00FF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y7_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] ( +// Location: FF_X52_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y7_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), - .prn(vcc)); +// Location: MLABCELL_X52_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .lut_mask = 64'h00550055CCDDCCDD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] ( +// Location: FF_X52_Y21_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), - .prn(vcc)); +// Location: MLABCELL_X52_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .lut_mask = 64'hA8A8A8A8A800A800; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y9_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] ( +// Location: FF_X51_Y19_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] ( +// Location: FF_X50_Y21_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X70_Y8_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), - .prn(vcc)); +// Location: LABCELL_X50_Y22_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 +// )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] ( +// Location: FF_X57_Y15_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), - .prn(vcc)); +// Location: LABCELL_X55_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15])) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .lut_mask = 64'h335500003355FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y11_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] ( +// Location: FF_X55_Y18_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y11_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE ( +// Location: FF_X47_Y19_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] ( +// Location: FF_X52_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE_q ), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] ( +// Location: LABCELL_X53_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .lut_mask = 64'h303000F03F3F0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y19_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y8_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] ( +// Location: FF_X50_Y22_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] ( +// Location: MLABCELL_X47_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y21_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] ( +// Location: MLABCELL_X47_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .lut_mask = 64'h00000F0F00FF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y19_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X75_Y10_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE ( +// Location: FF_X46_Y22_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y8_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE ( +// Location: FF_X46_Y20_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 ( +// Location: MLABCELL_X47_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~130 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .lut_mask = 64'h00000F0F33333F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 ( +// Location: MLABCELL_X47_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .lut_mask = 64'hF0C0A08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 ( +// Location: MLABCELL_X47_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .lut_mask = 64'hFFFFFFFF03570357; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 ( +// Location: FF_X47_Y19_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .lut_mask = 64'h000F000FAAAFAA05; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y21_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X50_Y22_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y22_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 +// )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~106 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .lut_mask = 64'h0000F906000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 ( +// Location: FF_X57_Y15_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .lut_mask = 64'h0000ED12000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .lut_mask = 64'hC044C044F377F377; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 ( +// Location: FF_X53_Y19_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y22_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X51_Y22_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 ( +// Location: MLABCELL_X52_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 ( +// Location: FF_X52_Y20_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16]~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[16] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .lut_mask = 64'h88880C0CBBBB3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 ( +// Location: FF_X53_Y19_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y22_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .lut_mask = 64'h111111111F1F1F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 ( +// Location: FF_X47_Y21_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~62 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 )) +// Location: FF_X47_Y21_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~66 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), - .shareout()); +// Location: FF_X50_Y22_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 ( +// Location: LABCELL_X51_Y22_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .lut_mask = 64'h0000F906000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .lut_mask = 64'h0303575703035757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 )) +// Location: FF_X52_Y22_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), +// Location: MLABCELL_X52_Y22_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout +// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .lut_mask = 64'hE000E000E0000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 ( +// Location: MLABCELL_X52_Y22_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout +// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ) ) +// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch +// [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .lut_mask = 64'h0000ED12000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .lut_mask = 64'hFFFFFFFF333F777F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 ( +// Location: FF_X52_Y22_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [16])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .lut_mask = 64'h00005555F0F0C5C5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 ( +// Location: LABCELL_X53_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 )) +// Location: FF_X53_Y21_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~34 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), - .shareout()); +// Location: FF_X50_Y22_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 ( +// Location: LABCELL_X50_Y22_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~38 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .lut_mask = 64'h0000F906000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 ( +// Location: FF_X57_Y15_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .lut_mask = 64'hAA0A000AFF5F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y21_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 ( +// Location: LABCELL_X53_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 ( +// Location: LABCELL_X51_Y22_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .lut_mask = 64'h0000F906000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 )) +// Location: FF_X51_Y22_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~54 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), - .shareout()); +// Location: FF_X46_Y22_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 ( +// Location: MLABCELL_X52_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 ( +// Location: MLABCELL_X47_Y21_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~6 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 ( +// Location: FF_X47_Y21_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y22_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 )) +// Location: FF_X52_Y22_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), +// Location: MLABCELL_X52_Y22_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .lut_mask = 64'hC800C800C8000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 ( +// Location: LABCELL_X51_Y22_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 ( +// Location: FF_X51_Y22_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) +// # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .lut_mask = 64'h0000FF00000006F9; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .lut_mask = 64'hFF37FF37FF37FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 ( +// Location: FF_X52_Y22_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y21_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .lut_mask = 64'h0000ED12000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .lut_mask = 64'h00003333E0E0E3E3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62] ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 )) +// Location: FF_X51_Y21_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~30 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), - .shareout()); +// Location: FF_X50_Y22_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .lut_mask = 64'h0000FF00000012ED; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 ( +// Location: LABCELL_X50_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout = SUM(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 = CARRY(( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~118 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .lut_mask = 64'h0000A99A000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ))))) ) + ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 )) +// Location: FF_X51_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~122 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), - .cout(), - .shareout()); +// Location: FF_X50_Y22_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .lut_mask = 64'h0000FFF000000536; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 ( +// Location: LABCELL_X50_Y22_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs2_is_signed~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~125_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .lut_mask = 64'h000C000C333F333F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] ( +// Location: FF_X51_Y19_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 ( +// Location: FF_X50_Y22_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~121_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .lut_mask = 64'h000F000FF0FFF0FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] ( +// Location: FF_X51_Y19_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~30_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 ( +// Location: FF_X50_Y21_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~117_sumout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .lut_mask = 64'h11111111DDDDDDDD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] ( +// Location: FF_X51_Y22_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~29_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[61] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~29_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X52_Y19_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y8_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] ( +// Location: FF_X57_Y14_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~7_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[60] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 ( +// Location: LABCELL_X42_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~25_sumout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .lut_mask = 64'h0C3F0C3F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .lut_mask = 64'hA000F500A0FFF5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] ( +// Location: FF_X42_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 ( +// Location: LABCELL_X48_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [59]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21] ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~21_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y8_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .lut_mask = 64'h0000000033333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 ( +// Location: MLABCELL_X52_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [58]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [58]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~17_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .lut_mask = 64'h00CC00CC33FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] ( +// Location: FF_X52_Y18_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 ( +// Location: LABCELL_X42_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]))))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~13_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .lut_mask = 64'h880C880CBB3FBB3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] ( +// Location: FF_X42_Y18_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 ( +// Location: MLABCELL_X47_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~9_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .lut_mask = 64'h000F000FF0FFF0FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] ( +// Location: FF_X47_Y21_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 ( +// Location: MLABCELL_X47_Y21_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~5_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .lut_mask = 64'h3300330033FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] ( +// Location: FF_X47_Y21_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 ( +// Location: MLABCELL_X47_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~57_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] ( +// Location: FF_X47_Y20_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~14_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[53] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 ( +// Location: MLABCELL_X47_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~53_sumout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] ( +// Location: FF_X47_Y20_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 ( +// Location: MLABCELL_X47_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~49_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .lut_mask = 64'h00000F0FF0F0FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] ( +// Location: FF_X48_Y19_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~12_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[51] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 ( +// Location: LABCELL_X46_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~45_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .lut_mask = 64'h0C3F0C3F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .lut_mask = 64'h0505050537373737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~11_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), - .prn(vcc)); +// Location: LABCELL_X48_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[50] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .lut_mask = 64'hEE00E00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 ( +// Location: LABCELL_X48_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout +// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ) ) ) +// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~41_sumout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .lut_mask = 64'h0C3F0C3F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .lut_mask = 64'hFFFFFFFF0F3F5F7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] ( +// Location: FF_X48_Y19_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~10_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[49] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 ( +// Location: LABCELL_X48_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~37_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .lut_mask = 64'h000000FFF0F0C0CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] ( +// Location: FF_X48_Y19_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 ( +// Location: FF_X50_Y21_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [48]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~33_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] ( +// Location: FF_X53_Y21_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~8_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[47] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 ( +// Location: FF_X50_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 +// )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~85_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] ( +// Location: FF_X50_Y21_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 ( +// Location: FF_X47_Y20_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [46]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [46]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~81_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] ( +// Location: FF_X53_Y21_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~20_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[45] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 ( +// Location: FF_X47_Y20_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~77_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] ( +// Location: FF_X47_Y20_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 ( +// Location: LABCELL_X51_Y21_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [44]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [44]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~73_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y9_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .lut_mask = 64'h050505050505FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 ( +// Location: MLABCELL_X52_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~69_sumout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .lut_mask = 64'h0C3F0C3F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .lut_mask = 64'hFF5FFF7FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] ( +// Location: FF_X52_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~17_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 ( +// Location: LABCELL_X51_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~65_sumout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .lut_mask = 64'h03AB03AB03890389; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 ( +// Location: LABCELL_X55_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [41]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]))) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~61_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .lut_mask = 64'hC0CC000CF3FF333F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] ( +// Location: FF_X55_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~15_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[40] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 ( +// Location: LABCELL_X53_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~101_sumout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), - .datae(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .lut_mask = 64'h11BB11BB11BB11BB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .lut_mask = 64'h0033CCFF0033CCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] ( +// Location: FF_X55_Y19_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~25_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[39] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 ( +// Location: MLABCELL_X59_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [23] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~97_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .lut_mask = 64'h00550055AAFFAAFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .lut_mask = 64'hF0FFF0FFF000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ( +// Location: FF_X59_Y15_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 ( +// Location: LABCELL_X55_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~93_sumout ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] ( +// Location: FF_X48_Y18_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~23_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 ( +// Location: LABCELL_X50_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~89_sumout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .lut_mask = 64'h4444444477777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .lut_mask = 64'hF0F0F0F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] ( +// Location: FF_X50_Y18_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~22_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[36] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 ( +// Location: LABCELL_X53_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout = -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~109_sumout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .lut_mask = 64'h1B1B1B1B1B1B1B1B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .lut_mask = 64'hA000A0FFAF00AFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y10_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] ( +// Location: FF_X53_Y17_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~27_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 ( +// Location: LABCELL_X50_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [35]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~105_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y10_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~26_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[34] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .lut_mask = 64'h03030303ABABABAB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 ( +// Location: LABCELL_X45_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~113_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .lut_mask = 64'h505050505F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] ( +// Location: FF_X45_Y19_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~28_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 ( +// Location: LABCELL_X50_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod -// [33]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add3~1_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .lut_mask = 64'hC500C500C5FFC5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] ( +// Location: FF_X50_Y18_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[32] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X72_Y10_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X70_Y12_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] ( +// Location: FF_X48_Y19_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[1] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]))) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0 .lut_mask = 64'h3F003F003FFF3FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE ( +// Location: FF_X46_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])))) ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0])))) ) + ( VCC ) + ( !VCC )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[0]~DUPLICATE_q ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .lut_mask = 64'h000000000000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X74_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [32]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~1_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .lut_mask = 64'h000AAA0A555FFF5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X67_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[0]~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .lut_mask = 64'h0055035733770357; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 ( +// Location: LABCELL_X46_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .lut_mask = 64'h000000000C000C00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .lut_mask = 64'h000F000F333F333F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0 ( +// Location: MLABCELL_X47_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0 .lut_mask = 64'h0F0F0F0F33333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y10_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned ( +// Location: FF_X47_Y20_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.alu_unsigned~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~42 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder ( +// Location: LABCELL_X50_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y8_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE ( +// Location: FF_X50_Y21_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 ( +// Location: LABCELL_X50_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 ( +// Location: LABCELL_X50_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) +// ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y6_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .lut_mask = 64'hC080C08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 ( +// Location: LABCELL_X50_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout +// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .lut_mask = 64'h000000020E0C0E0E; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .lut_mask = 64'hFFFFFFFF05FF37FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell ( +// Location: FF_X50_Y18_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .lut_mask = 64'h00005555C8C8D9D9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N56 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] ( +// Location: FF_X48_Y19_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE ( +// Location: FF_X50_Y18_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1 ( +// Location: LABCELL_X48_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1 .lut_mask = 64'hCACAC0C00A0A0000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 ( +// Location: FF_X55_Y19_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1_combout -// & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .lut_mask = 64'h0000000001000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .lut_mask = 64'hAAFFAAFFAA00AA00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N19 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] ( +// Location: FF_X56_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 ( +// Location: LABCELL_X55_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( \neorv32_top_inst|io_wren~combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( \neorv32_top_inst|io_wren~combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( !\neorv32_top_inst|io_wren~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), - .dataf(!\neorv32_top_inst|io_wren~combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .lut_mask = 64'h0000FFFF0F0FF0F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y15_N20 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N43 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re ( +// Location: FF_X51_Y19_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 ( +// Location: MLABCELL_X52_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .lut_mask = 64'h00FF00FF55555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] ( +// Location: FF_X52_Y20_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty ( +// Location: LABCELL_X53_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .lut_mask = 64'h00FF00FFFF00FF00; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X64_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout )) - - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .lut_mask = 64'h0005000500050005; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .lut_mask = 64'hCC000C0CFF333F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N35 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM ( +// Location: FF_X53_Y19_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~10_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 ( +// Location: LABCELL_X55_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q & ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [25] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_SIM~q ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .lut_mask = 64'h1050105000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N31 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE ( +// Location: FF_X55_Y19_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~9_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 ( +// Location: LABCELL_X57_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .lut_mask = 64'h3333333300000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7 .lut_mask = 64'h00AA00AAFFAAFFAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 ( +// Location: LABCELL_X51_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .lut_mask = 64'h0000000000F000F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N52 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET ( +// Location: FF_X51_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~6_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 ( +// Location: LABCELL_X56_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .lut_mask = 64'h0505050505050505; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4 .lut_mask = 64'h0FFF0FFF00F000F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N52 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ), - .prn(vcc)); +// Location: LABCELL_X57_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 ( +// Location: LABCELL_X57_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~7_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .lut_mask = 64'hFFCCFFCCCCFFCCFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .lut_mask = 64'hF0FFF0FFF000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 ( +// Location: FF_X59_Y16_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N47 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] ( +// Location: FF_X51_Y22_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0 ( +// Location: LABCELL_X56_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0 .lut_mask = 64'hFFFFFFFF00FF00FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6 .lut_mask = 64'h0F000F00FFF0FFF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1 ( +// Location: LABCELL_X55_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) ) -// ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) ) -// ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1 .lut_mask = 64'h0505555500005050; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] ( +// Location: FF_X55_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 ( +// Location: LABCELL_X57_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~6_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~10 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] ( +// Location: FF_X57_Y14_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 ( +// Location: LABCELL_X55_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .lut_mask = 64'h80A280A2D5F7D5F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N7 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] ( +// Location: FF_X55_Y18_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 ( +// Location: LABCELL_X55_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N37 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] ( +// Location: FF_X51_Y22_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y16_N11 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] ( +// Location: MLABCELL_X52_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 ( +// Location: LABCELL_X55_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .lut_mask = 64'h0000000000003333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .lut_mask = 64'hA000A0AAF555F5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N14 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] ( +// Location: FF_X55_Y18_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 ( +// Location: LABCELL_X50_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~42 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder ( +// Location: MLABCELL_X52_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] ( +// Location: FF_X52_Y18_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder ( +// Location: FF_X50_Y21_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N29 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] ( +// Location: FF_X51_Y19_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5]~feeder_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y16_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] ( +// Location: FF_X50_Y21_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 ( +// Location: MLABCELL_X47_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~30 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder ( +// Location: FF_X47_Y20_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y20_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .lut_mask = 64'h0303030357575757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N25 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] ( +// Location: FF_X46_Y21_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N20 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), - .prn(vcc)); +// Location: LABCELL_X50_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]))) ) ) +// ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .lut_mask = 64'hFC00A80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 ( +// Location: LABCELL_X51_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout +// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout )) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout +// & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .lut_mask = 64'hFF33FF3FFF77FF7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N23 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] ( +// Location: FF_X51_Y22_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 ( +// Location: LABCELL_X51_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .lut_mask = 64'h0000000000003333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .lut_mask = 64'h03030303AB89AB89; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N25 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] ( +// Location: FF_X50_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 ( +// Location: LABCELL_X55_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) +// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .lut_mask = 64'h0000F0F00F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N29 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] ( +// Location: FF_X55_Y19_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 ( +// Location: MLABCELL_X59_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .lut_mask = 64'h0000000000003333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .lut_mask = 64'hAFAFAFAFA0A0A0A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y16_N32 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] ( +// Location: FF_X59_Y14_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 ( +// Location: LABCELL_X55_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt -// [10] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [4]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .lut_mask = 64'hCC00000000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X63_Y16_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 -// )) - - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~34 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ), - .cout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder ( +// Location: LABCELL_X45_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N43 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] ( +// Location: FF_X45_Y19_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y16_N35 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] ( +// Location: FF_X51_Y22_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~0_combout ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11]~1_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 ( +// Location: LABCELL_X56_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt -// [6] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [6]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [5]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .lut_mask = 64'h8000800000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5 .lut_mask = 64'h0000F0F0FFFFF0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y16_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 ( +// Location: LABCELL_X57_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7])) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [0]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [1]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .lut_mask = 64'h0000000020200000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 ( +// Location: FF_X57_Y14_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout -// & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ))) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .lut_mask = 64'h0202020213130202; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .lut_mask = 64'h8A028A02DF57DF57; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N59 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] ( +// Location: FF_X53_Y19_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 ( +// Location: LABCELL_X53_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 ( +// Location: FF_X51_Y19_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y19_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y21_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & -// (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt -// [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout -// & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ))) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ) # -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1])) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .lut_mask = 64'h0008FFF7000800F7; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] ( +// Location: LABCELL_X50_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y21_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 ( +// Location: FF_X47_Y20_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] $ (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt -// [0])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .lut_mask = 64'hECDFECDFCCFFCCFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N55 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] ( +// Location: FF_X47_Y20_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 ( +// Location: LABCELL_X50_Y21_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ) ) ) # ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] & ( -// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1])) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .lut_mask = 64'h33337FFF3333FFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .lut_mask = 64'h0303030357575757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N41 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT ( +// Location: FF_X50_Y21_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y15_N19 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .prn(vcc)); +// Location: LABCELL_X50_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .lut_mask = 64'hA800A800A8000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 ( +// Location: LABCELL_X51_Y22_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt -// [0] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .lut_mask = 64'h2000200000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .lut_mask = 64'hAAAAAAAAAAAAAAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N49 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done ( +// Location: FF_X51_Y22_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set ( +// Location: LABCELL_X51_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ) ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27] ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ) ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27] ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .lut_mask = 64'h0F000F000F000F00; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .lut_mask = 64'hFFFF1FFFFFFF1F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N55 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] ( +// Location: FF_X51_Y22_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 ( +// Location: MLABCELL_X52_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .lut_mask = 64'h00550055F0F5F005; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .lut_mask = 64'hFFFFAFAFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .lut_mask = 64'h8C8C0404BFBF3737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] ( +// Location: FF_X53_Y19_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~81_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 ( +// Location: LABCELL_X55_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .lut_mask = 64'h000000000000FFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .lut_mask = 64'h0505F5F50505F5F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y12_N22 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] ( +// Location: FF_X55_Y19_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 ( +// Location: LABCELL_X56_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .lut_mask = 64'h33333F3F00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .lut_mask = 64'hCFCFCFCFC0C0C0C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] ( +// Location: FF_X56_Y16_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 ( +// Location: LABCELL_X55_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 )) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~62 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y10_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] ( +// Location: FF_X48_Y18_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 ( +// Location: LABCELL_X51_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .lut_mask = 64'h11DD000011DDFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] ( +// Location: FF_X51_Y22_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~43_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 ( +// Location: LABCELL_X56_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y10_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9]~8_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10 .lut_mask = 64'h5555FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] ( +// Location: FF_X51_Y16_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 ( +// Location: LABCELL_X57_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]))))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [9]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~10_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .lut_mask = 64'h0000000000000407; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] ( +// Location: FF_X57_Y14_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [9]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .lut_mask = 64'h0000000055505350; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 ( +// Location: LABCELL_X55_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout = (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28])) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .lut_mask = 64'h3FBF3FBF3FBF3FBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .lut_mask = 64'hC5C50000C5C5FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] ( +// Location: FF_X55_Y18_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 ( +// Location: LABCELL_X50_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28] ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [9]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .lut_mask = 64'h0F000FFF330033FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y10_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~44_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .lut_mask = 64'h0000000055555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 ( +// Location: LABCELL_X51_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec -// [9] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~2_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .lut_mask = 64'h111F111FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .lut_mask = 64'hCCCCCCCCCCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y10_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] ( +// Location: FF_X51_Y20_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector107~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[9] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X56_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), - .sumout(), - .cout(), - .shareout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .lut_mask = 64'h11111111F1F1C1C1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder ( +// Location: LABCELL_X55_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [28])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [28])) ) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux76~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .lut_mask = 64'hBB110000BB11FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y10_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] ( +// Location: FF_X55_Y18_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X50_Y19_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE ( +// Location: FF_X47_Y20_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 ( +// Location: MLABCELL_X47_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~66 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X55_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ), - .sumout(), - .cout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y8_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] ( +// Location: FF_X47_Y20_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] ( +// Location: FF_X50_Y21_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -30617,929 +39448,931 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 ( +// Location: LABCELL_X50_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~70 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 ( +// Location: FF_X50_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .lut_mask = 64'h5F5FFFFF0000FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .lut_mask = 64'h0505050537373737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp ( +// Location: FF_X46_Y19_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y6_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] ( +// Location: FF_X46_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X64_Y6_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 ( +// Location: LABCELL_X46_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [11]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .lut_mask = 64'h0000000001000103; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y10_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .lut_mask = 64'h0505050537373737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 ( +// Location: MLABCELL_X47_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]))) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .lut_mask = 64'h000000000F0A0F22; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .lut_mask = 64'hFC00A80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 ( +// Location: LABCELL_X48_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) -// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~1_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .lut_mask = 64'hEE00E00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .lut_mask = 64'hFFFFFFFF5703FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X48_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder ( +// Location: LABCELL_X48_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [28])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .lut_mask = 64'h000F000FCCCFC0C3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] ( +// Location: FF_X47_Y19_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11]~feeder_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 ( +// Location: MLABCELL_X47_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [11]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .lut_mask = 64'h040437378C8CBFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .lut_mask = 64'h505050505F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] ( +// Location: FF_X55_Y19_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~48_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 ( +// Location: LABCELL_X56_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .lut_mask = 64'h028A028A57DF57DF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .lut_mask = 64'hF0FFF0FFF000F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] ( +// Location: FF_X56_Y14_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~47_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 ( +// Location: LABCELL_X55_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [11]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .lut_mask = 64'h0000000033330F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y7_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei ( +// Location: FF_X57_Y13_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), - .prn(vcc)); +// Location: LABCELL_X57_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9 .lut_mask = 64'h0FFF0FFF00F000F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 ( +// Location: LABCELL_X53_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout -// )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mei~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .lut_mask = 64'hBBBBBBFFBFBFBFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y7_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] ( +// Location: FF_X53_Y16_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 ( +// Location: LABCELL_X57_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~9_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .lut_mask = 64'h00000F0FCCCC8B8B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X57_Y13_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder ( +// Location: LABCELL_X53_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) +// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) ) +// ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux74~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .lut_mask = 64'hA0A02222F5F57777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y8_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] ( +// Location: FF_X53_Y17_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[11] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X64_Y6_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[11]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 ( +// Location: LABCELL_X53_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 ( +// Location: LABCELL_X53_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .lut_mask = 64'h3500350035FF35FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] ( +// Location: FF_X53_Y16_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~49_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[12] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X62_Y10_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 ( +// Location: LABCELL_X53_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .lut_mask = 64'h2700270027FF27FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .lut_mask = 64'h8800BB3388CCBBFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] ( +// Location: FF_X53_Y19_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 ( +// Location: MLABCELL_X47_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & -// ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpp~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .lut_mask = 64'h003311110F3F1F1F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] ( +// Location: FF_X50_Y19_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y6_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] ( +// Location: FF_X47_Y20_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 ( +// Location: LABCELL_X50_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [12]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [12]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .lut_mask = 64'h0505050537373737; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] ( +// Location: FF_X50_Y21_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 ( +// Location: LABCELL_X51_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .lut_mask = 64'h0000000000010031; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .lut_mask = 64'h00550F5F00550F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] ( +// Location: FF_X46_Y21_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 ( +// Location: LABCELL_X46_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .lut_mask = 64'hAAAAAAAAAA8AAA88; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]))) ) ) +// ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .lut_mask = 64'hE0E0E00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder ( +// Location: LABCELL_X51_Y22_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y8_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] ( +// Location: FF_X51_Y22_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -31548,45 +40381,91 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[ .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 ( +// Location: LABCELL_X51_Y22_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout -// ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .lut_mask = 64'hFF5FFF5FFF7FFF7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] ( +// Location: FF_X51_Y22_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y22_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13] & ( +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout +// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq +// [13] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .lut_mask = 64'hFF33FF3FFF77FF7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y22_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -31595,745 +40474,814 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 ( +// Location: LABCELL_X53_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [29] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .lut_mask = 64'h00000F0FA8A8ADAD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .lut_mask = 64'h0055CCD10055CCD1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y22_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder ( +// Location: MLABCELL_X52_Y22_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [29] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y8_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] ( +// Location: FF_X55_Y19_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] ( +// Location: LABCELL_X57_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .lut_mask = 64'hF0F0F0F0FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X57_Y13_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 ( +// Location: LABCELL_X55_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 // )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~78 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// Location: FF_X51_Y21_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y21_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder ( +// Location: LABCELL_X46_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .lut_mask = 64'h0303030357575757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] ( +// Location: FF_X50_Y21_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[13] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X68_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4 .lut_mask = 64'h0000FFFF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder ( +// Location: LABCELL_X50_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] ( +// Location: FF_X50_Y21_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X51_Y21_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25 .lut_mask = 64'h000000FFFFFF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y20_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .lut_mask = 64'h00CC00CC33FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 ( +// Location: MLABCELL_X47_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .lut_mask = 64'h0303030303030303; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] ( +// Location: FF_X47_Y20_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23 ( +// Location: LABCELL_X48_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE_q ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23 .lut_mask = 64'h0000AAAA5555FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .lut_mask = 64'h00000F0F55555F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 ( +// Location: LABCELL_X56_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8 .lut_mask = 64'h0A0AFAFA0A0AFAFA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] ( +// Location: FF_X48_Y15_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux21~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[9] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X67_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .lut_mask = 64'h0000CCCC3333FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 ( +// Location: LABCELL_X55_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .lut_mask = 64'h0000000033333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] ( +// Location: FF_X55_Y14_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux22~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 ( +// Location: LABCELL_X57_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~8_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] ( +// Location: FF_X57_Y13_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux23~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 ( +// Location: LABCELL_X55_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .lut_mask = 64'hA0A02222F5F57777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y11_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] ( +// Location: FF_X55_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X50_Y18_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27 ( +// Location: LABCELL_X50_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27 .lut_mask = 64'h00CC00CC33FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .lut_mask = 64'h000033330F0F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 ( +// Location: LABCELL_X55_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .lut_mask = 64'h0000000033333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .lut_mask = 64'hCCCCCCCCCCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] ( +// Location: FF_X55_Y21_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux24~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .lut_mask = 64'h8C048C04BF37BF37; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[10] ( +// Location: FF_X55_Y21_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~49_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y11_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] ( +// Location: MLABCELL_X52_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .lut_mask = 64'hE0E0E0E0E000E000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X51_Y18_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [10]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 ( +// Location: LABCELL_X51_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [6])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout +// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout +// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .lut_mask = 64'h000B000BFF0BFF0B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .lut_mask = 64'hFFFF7F7FFFFF7FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] ( +// Location: FF_X51_Y18_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -32342,3566 +41290,3833 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2 ( +// Location: LABCELL_X51_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .lut_mask = 64'h0505FCFC05050505; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 ( +// Location: FF_X52_Y21_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]))) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X55_Y13_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~8_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .lut_mask = 64'h505F505F505F505F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] ( +// Location: FF_X55_Y19_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [8]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 ( +// Location: LABCELL_X57_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4]~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .sumout(), .cout(), .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .lut_mask = 64'h0F000F000FBB0FBB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0 .shared_arith = "off"; +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .lut_mask = 64'hAAAAAAAAFF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] ( +// Location: FF_X57_Y13_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~11_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 ( +// Location: LABCELL_X55_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 +// )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .lut_mask = 64'h00000000CCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 ( +// Location: LABCELL_X56_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), + .datac(gnd), .datad(gnd), - .datae(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout ), .sumout(), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X68_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~134_cout ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~2 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), - .shareout()); +// Location: FF_X56_Y21_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~6 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), - .shareout()); +// Location: FF_X51_Y22_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 ( +// Location: LABCELL_X45_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .lut_mask = 64'h0000FF000000596A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .lut_mask = 64'h5500550055FF55FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), - .shareout()); +// Location: FF_X45_Y20_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .lut_mask = 64'h0000FF000000596A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 ( +// Location: LABCELL_X56_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .lut_mask = 64'h0000FF000000596A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .lut_mask = 64'hA3A3A3A30000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~27_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), - .shareout()); +// Location: FF_X56_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 ( +// Location: LABCELL_X45_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .lut_mask = 64'h0000FF000000596A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 ( +// Location: LABCELL_X51_Y22_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~23_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), - .shareout()); +// Location: FF_X51_Y22_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 ( +// Location: MLABCELL_X47_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[10]~24_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~98 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~25_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), - .shareout()); +// Location: FF_X51_Y19_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[12]~4_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), - .shareout()); +// Location: FF_X47_Y20_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 ( +// Location: LABCELL_X50_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~20_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~18 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] ( +// Location: FF_X50_Y21_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 ( +// Location: LABCELL_X50_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .lut_mask = 64'h0C003F000CFF3FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .lut_mask = 64'h0505050537373737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] ( +// Location: FF_X46_Y21_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] ( +// Location: FF_X46_Y19_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y6_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), - .prn(vcc)); +// Location: LABCELL_X46_Y21_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 ( +// Location: LABCELL_X46_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .lut_mask = 64'h0000000000000145; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .lut_mask = 64'hEE00E00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] ( +// Location: LABCELL_X45_Y22_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .lut_mask = 64'hFFFFFFFF1F1F1FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y22_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y5_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 ( +// Location: LABCELL_X57_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .lut_mask = 64'h0000000001FB00F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .lut_mask = 64'h00003333A8A8B9B9; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 ( +// Location: LABCELL_X55_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .lut_mask = 64'h0F0F3F3FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .lut_mask = 64'h8080D0D08F8FDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] ( +// Location: FF_X55_Y21_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y9_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] ( +// Location: LABCELL_X46_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [31] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X55_Y19_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 ( +// Location: LABCELL_X57_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .lut_mask = 64'h0C0C44443F3F7777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .lut_mask = 64'hFF33FF33CC00CC00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] ( +// Location: FF_X57_Y13_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~52_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 ( +// Location: LABCELL_X57_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .lut_mask = 64'h05FF05FF37FF37FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .lut_mask = 64'h55FF55FF00AA00AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), - .prn(vcc)); +// Location: LABCELL_X57_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] +// $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .lut_mask = 64'h333C333CC3CCC3CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 ( +// Location: LABCELL_X57_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .lut_mask = 64'h0000A0F5000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]))) ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .lut_mask = 64'h0000FF3300000FC3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .lut_mask = 64'h00000F0FCCCC8B8B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .lut_mask = 64'h13FF13FF13FF33FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder ( +// Location: LABCELL_X53_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .lut_mask = 64'h000F000FFCFC000F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y6_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] ( +// Location: FF_X53_Y20_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13]~feeder_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(vcc), .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE ( +// Location: FF_X46_Y20_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 ( +// Location: LABCELL_X46_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE_q ), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~82 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] ( +// Location: FF_X46_Y20_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] ( +// Location: LABCELL_X46_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y20_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 ( +// Location: LABCELL_X46_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]))))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [14]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .lut_mask = 64'h0000000000000415; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] ( +// Location: FF_X46_Y20_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] ( +// Location: LABCELL_X46_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y20_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 ( +// Location: LABCELL_X46_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .lut_mask = 64'h0000000001FD00F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y20_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .lut_mask = 64'h0F0F3F3FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21 ( +// Location: LABCELL_X46_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21 .lut_mask = 64'h000F000FFF0FFF0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 ( +// Location: FF_X46_Y19_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[14]~21_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~86 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] ( +// Location: FF_X46_Y19_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 ( +// Location: LABCELL_X46_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .lut_mask = 64'h4400770044FF77FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] ( +// Location: FF_X46_Y19_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~53_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y7_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), - .prn(vcc)); +// Location: LABCELL_X46_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] ( +// Location: FF_X46_Y19_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 ( +// Location: LABCELL_X46_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc -// [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .lut_mask = 64'h40404C4C73737F7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] ( +// Location: FF_X46_Y19_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~54_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 ( +// Location: LABCELL_X46_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), - .cin(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .lut_mask = 64'h555F555F777F777F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] ( +// Location: FF_X46_Y19_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .lut_mask = 64'h000000FFCCC0CCF3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder ( +// Location: LABCELL_X46_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux71~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y8_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] ( +// Location: FF_X46_Y19_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE ( +// Location: FF_X46_Y19_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[14]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 ( +// Location: LABCELL_X46_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 +// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~86 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), - .sumout(), - .cout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .lut_mask = 64'h11111111FAFA1111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y7_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] ( +// Location: FF_X46_Y19_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] ( +// Location: LABCELL_X46_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y19_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 ( +// Location: LABCELL_X46_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~34 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y6_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] ( +// Location: FF_X46_Y19_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] ( +// Location: LABCELL_X46_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 +// )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y19_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 ( +// Location: LABCELL_X46_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~38 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .lut_mask = 64'h0000FFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder ( +// Location: LABCELL_X45_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .lut_mask = 64'h0000AAAA00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] ( +// Location: FF_X45_Y19_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl +// [0] ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0] ) + +// ( !VCC )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .lut_mask = 64'h0000CCCC00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE ( +// Location: FF_X47_Y21_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 ( +// Location: MLABCELL_X47_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~42 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~2 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y8_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] ( +// Location: FF_X47_Y21_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~114 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~106 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] ( +// Location: FF_X47_Y21_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 ( +// Location: MLABCELL_X47_Y22_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [3]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] ( +// Location: FF_X47_Y22_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y6_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] ( +// Location: FF_X46_Y20_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 ( +// Location: MLABCELL_X47_Y22_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .lut_mask = 64'h0000000000010051; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 ( +// Location: MLABCELL_X47_Y22_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd -// [6])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .lut_mask = 64'hE0E0E00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y22_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .lut_mask = 64'hC080C08000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE ( +// Location: FF_X51_Y22_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi .power_up = "low"; +// synopsys translate_on + +// Location: FF_X48_Y22_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 ( +// Location: LABCELL_X48_Y22_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ))) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ) +// # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ))) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout +// ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ))) ) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_msi~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .lut_mask = 64'h55550000FFFFAAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .lut_mask = 64'hEEEFEEEFEEEFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X48_Y22_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector113~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 ( +// Location: LABCELL_X53_Y22_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .lut_mask = 64'h0FFF0FFF00F000F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .lut_mask = 64'h05370532AFBFAF10; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder ( +// Location: LABCELL_X53_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] ( +// Location: FF_X53_Y20_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y22_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11 .lut_mask = 64'h0A0A0A0AFAFAFAFA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16 ( +// Location: LABCELL_X46_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16 .lut_mask = 64'h3F3F3F3F30303030; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder ( +// Location: FF_X46_Y22_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y22_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] ( +// Location: FF_X46_Y22_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22 ( +// Location: LABCELL_X46_Y22_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22 .lut_mask = 64'h00005555AAAAFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y22_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder ( +// Location: LABCELL_X46_Y22_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] ( +// Location: FF_X46_Y22_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 ( +// Location: LABCELL_X46_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[15]~22_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~90 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 ( +// Location: FF_X46_Y22_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y22_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[16]~16_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~94 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[17]~11_combout ), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~70 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), - .shareout()); +// Location: FF_X46_Y22_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .lut_mask = 64'h0000FF000000396C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 ( +// Location: LABCELL_X46_Y22_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[18]~8_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~46 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[19]~7_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~34 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), - .shareout()); +// Location: FF_X46_Y22_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder ( +// Location: LABCELL_X46_Y22_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 +// )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] ( +// Location: FF_X46_Y22_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19]~feeder_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 ( +// Location: LABCELL_X46_Y22_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .lut_mask = 64'h80808C8CB3B3BFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] ( +// Location: FF_X46_Y22_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~35_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y8_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] ( +// Location: LABCELL_X46_Y22_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 +// )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y22_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 ( +// Location: LABCELL_X46_Y22_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] ( +// Location: FF_X46_Y22_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19]~10_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 ( +// Location: LABCELL_X46_Y22_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [19]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .lut_mask = 64'hA0A02222F5F57777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] ( +// Location: FF_X46_Y22_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[19] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]))) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [19]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .lut_mask = 64'h010101FF515151FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 ( +// Location: LABCELL_X46_Y22_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] ( +// Location: FF_X46_Y22_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19]~6_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 ( +// Location: LABCELL_X46_Y22_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch -// [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec -// [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [19]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .lut_mask = 64'hAFBFFFFFAFBFAFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] ( +// Location: FF_X46_Y22_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 ( +// Location: LABCELL_X46_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .lut_mask = 64'h0000F0F05555C5C5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] ( +// Location: FF_X46_Y22_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 ( +// Location: LABCELL_X46_Y22_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 +// )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] ( +// Location: FF_X46_Y22_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 ( +// Location: LABCELL_X46_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 +// )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .lut_mask = 64'hCCCCFFFFCCCC0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y9_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] ( +// Location: FF_X46_Y21_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~10_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 ( +// Location: LABCELL_X46_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~46 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder ( +// Location: FF_X46_Y21_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y21_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~49_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y21_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6 ( +// Location: LABCELL_X46_Y21_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6 .lut_mask = 64'h0C0C0C0CFCFCFCFC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder ( +// Location: FF_X46_Y21_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 +// )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y11_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] ( +// Location: FF_X46_Y21_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 ( +// Location: LABCELL_X46_Y21_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[20]~6_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~30 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] ( +// Location: FF_X46_Y21_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 ( +// Location: LABCELL_X46_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause -// [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .lut_mask = 64'hA000AF0FF050FF5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] ( +// Location: FF_X46_Y21_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~37_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 ( +// Location: LABCELL_X46_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] ( +// Location: FF_X46_Y21_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20]~11_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 ( +// Location: LABCELL_X46_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) -// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 +// )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .lut_mask = 64'hA0A02222F5F57777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] ( +// Location: FF_X46_Y21_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 ( +// Location: LABCELL_X46_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 +// )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .lut_mask = 64'h000000000F330F33; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y21_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder ( +// Location: LABCELL_X46_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] ( +// Location: FF_X46_Y21_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 ( +// Location: LABCELL_X46_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~50 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y6_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] ( +// Location: FF_X46_Y21_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y5_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), - .prn(vcc)); +// Location: LABCELL_X46_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .lut_mask = 64'h0000FFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 ( +// Location: LABCELL_X53_Y22_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .lut_mask = 64'h0000001054445454; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .lut_mask = 64'h000000000000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] ( +// Location: FF_X53_Y22_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y5_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] ( +// Location: FF_X50_Y22_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y5_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE ( +// Location: FF_X47_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 ( +// Location: LABCELL_X51_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20]~DUPLICATE_q ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] ( +// Location: FF_X46_Y20_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 ( +// Location: LABCELL_X51_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20])) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .lut_mask = 64'h0000010100000005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 ( +// Location: LABCELL_X51_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ) ) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .lut_mask = 64'hF0A0F0A000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .lut_mask = 64'hA0A0000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 ( +// Location: LABCELL_X51_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout & ( -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout -// ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & +// ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0])) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q +// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .lut_mask = 64'hFFFFFFFF373737FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .lut_mask = 64'hFFFFFFFF555F777F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] ( +// Location: FF_X51_Y20_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -35910,5268 +45125,6306 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 ( +// Location: LABCELL_X51_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata -// [20])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .lut_mask = 64'h05370532AFBFAF10; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y23_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .lut_mask = 64'h00330033F0F3C0C3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y23_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y8_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] ( +// Location: FF_X46_Y22_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y8_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE ( +// Location: FF_X46_Y22_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~38_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 ( +// Location: LABCELL_X50_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X71_Y9_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector47~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 ( +// Location: LABCELL_X50_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .lut_mask = 64'hF5F5F5F5A0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 ( +// Location: LABCELL_X51_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal255~1_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .lut_mask = 64'hC800C80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder ( +// Location: LABCELL_X50_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] ( +// Location: FF_X50_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder ( +// Location: LABCELL_X45_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .lut_mask = 64'h0F0F00000F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y6_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] ( +// Location: FF_X45_Y20_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[21] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y5_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 ( +// Location: LABCELL_X53_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc +// [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~54 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .lut_mask = 64'h0500AF0005FFAFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] ( +// Location: FF_X53_Y17_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 ( +// Location: LABCELL_X51_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]))))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .lut_mask = 64'h0000000000000053; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y5_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 ( +// Location: LABCELL_X51_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~54 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .lut_mask = 64'hF0F3F0F3FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] ( +// Location: FF_X51_Y19_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y5_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] ( +// Location: FF_X53_Y16_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux29~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y5_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) -// ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [21]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .lut_mask = 64'h0000001054445454; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 ( +// Location: LABCELL_X57_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~1_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .lut_mask = 64'hCCCCC0C000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .lut_mask = 64'h03CF028A57DFA965; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5 ( +// Location: LABCELL_X64_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5 .lut_mask = 64'h0F0FFFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder ( +// Location: LABCELL_X64_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .lut_mask = 64'h010B010BF1FBF1FB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y9_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] ( +// Location: FF_X64_Y17_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 ( +// Location: LABCELL_X61_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[21]~5_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X68_Y9_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .lut_mask = 64'h02020202DFDFDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 ( +// Location: LABCELL_X62_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .lut_mask = 64'hCF030000CF03FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y10_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~39_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 ( +// Location: LABCELL_X61_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33])) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [33]), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .lut_mask = 64'hFF00FF00FF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y8_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21]~12_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .lut_mask = 64'h05F5000005F5FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 ( +// Location: LABCELL_X61_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [21]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .lut_mask = 64'h80A280A2D5F7D5F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .lut_mask = 64'h000F111F444F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~40_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), - .prn(vcc)); +// Location: LABCELL_X57_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .lut_mask = 64'h50503050FF503050; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 ( +// Location: LABCELL_X57_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21] ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [21]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .lut_mask = 64'h0000000000FF5555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .lut_mask = 64'h0145236789CDABEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 ( +// Location: MLABCELL_X59_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .lut_mask = 64'hFF11FFFFFF1FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y5_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] ( +// Location: FF_X59_Y17_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector95~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 ( +// Location: LABCELL_X37_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .lut_mask = 64'h11111111FA11FA11; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y5_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] ( +// Location: FF_X37_Y16_N32 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[20] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y16_N34 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux64~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 ( +// Location: LABCELL_X37_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [20]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [20]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .lut_mask = 64'h0000000005AF05AF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] ( +// Location: FF_X37_Y16_N17 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~29_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 ( +// Location: MLABCELL_X47_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .lut_mask = 64'hFF0FFF0FF000F000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] ( +// Location: FF_X47_Y15_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~8_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~54 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X39_Y13_N35 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [20]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .lut_mask = 64'h05050505CD89CD89; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y8_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] ( +// Location: FF_X39_Y17_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[22] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X66_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y8_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] ( +// Location: FF_X39_Y17_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22]~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 ( +// Location: LABCELL_X37_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [22]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .lut_mask = 64'h80A280A2D5F7D5F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .lut_mask = 64'h30303F3F505F505F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] ( +// Location: FF_X37_Y17_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 ( +// Location: MLABCELL_X39_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~71 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|rdata_v~71_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [20]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), + .combout(\neorv32_top_inst|rdata_v~71_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~71 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~71 .lut_mask = 64'hA0A0000000000000; +defparam \neorv32_top_inst|rdata_v~71 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector45~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), +// Location: M10K_X49_Y7_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) +// Location: M10K_X58_Y10_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~72 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~72_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout +// & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout ), + .combout(\neorv32_top_inst|rdata_v~72_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19 .lut_mask = 64'h0000FFFFAAAAAAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~72 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~72 .lut_mask = 64'h00000F0F55555F5F; +defparam \neorv32_top_inst|rdata_v~72 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder ( +// Location: MLABCELL_X47_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] +// \neorv32_top_inst|rdata_v~73_combout = ( \neorv32_top_inst|rdata_v~72_combout ) # ( !\neorv32_top_inst|rdata_v~72_combout & ( (!\neorv32_top_inst|rdata_v~71_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 )) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datac(gnd), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 ), + .datad(!\neorv32_top_inst|rdata_v~71_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~72_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~73_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~73 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~73 .lut_mask = 64'hFF03FF03FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y8_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] ( +// Location: FF_X47_Y14_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .d(\neorv32_top_inst|rdata_v~73_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 )) +// Location: FF_X47_Y14_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~73_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[22]~19_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~22 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), - .shareout()); +// Location: FF_X43_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 ( +// Location: MLABCELL_X39_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|io_wren~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|io_wren~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0] & +// (((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), + .combout(\neorv32_top_inst|io_wren~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .lut_mask = 64'hCFCFCFCFC0C0C0C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X70_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~23_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22] .power_up = "low"; +defparam \neorv32_top_inst|io_wren~2 .extended_lut = "off"; +defparam \neorv32_top_inst|io_wren~2 .lut_mask = 64'h0303030303070307; +defparam \neorv32_top_inst|io_wren~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 ( +// Location: MLABCELL_X39_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout = ( !\neorv32_top_inst|io_wren~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|io_wren~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .lut_mask = 64'h0008000800000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X38_Y9_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 ( +// Location: LABCELL_X43_Y12_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] ( +// Location: FF_X45_Y12_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23]~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[23] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X70_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18 .lut_mask = 64'h0C0C0C0CFCFCFCFC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder ( +// Location: LABCELL_X42_Y12_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] ( +// Location: FF_X42_Y12_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 )) +// Location: FF_X43_Y12_N34 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [4]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[23]~18_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~82 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), - .shareout()); +// Location: FF_X37_Y18_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y9_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] ( +// Location: FF_X40_Y16_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 ( +// Location: MLABCELL_X39_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .lut_mask = 64'h8888BBBB0C0C3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .lut_mask = 64'h0033550FFF33550F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] ( +// Location: FF_X39_Y18_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~15_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y7_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] ( +// Location: FF_X50_Y17_N58 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [7]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y17_N55 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .lut_mask = 64'h111111FF030303FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 ( +// Location: LABCELL_X45_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [4]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .lut_mask = 64'h0505050500550055; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y6_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] ( +// Location: FF_X45_Y15_N4 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23]~2_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~28_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 ( +// Location: LABCELL_X42_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 )) +// \neorv32_top_inst|rdata_v~69_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), + .combout(\neorv32_top_inst|rdata_v~69_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X63_Y5_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~69 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~69 .lut_mask = 64'hC0C0C00000000000; +defparam \neorv32_top_inst|rdata_v~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 ( +// Location: MLABCELL_X39_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|io_wren~2_combout & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|io_wren~2_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .lut_mask = 64'h0000000000400040; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder ( +// Location: M10K_X38_Y7_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X43_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~70 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ) +// \neorv32_top_inst|rdata_v~70_combout = ( \neorv32_top_inst|rdata_v~69_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~69_combout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ) ) # ( \neorv32_top_inst|rdata_v~69_combout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~69_combout & +// ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .datae(!\neorv32_top_inst|rdata_v~69_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~70_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~70 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~70 .lut_mask = 64'hFFFF0303FFFF5757; +defparam \neorv32_top_inst|rdata_v~70 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y7_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] ( +// Location: FF_X43_Y13_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23]~feeder_combout ), + .d(\neorv32_top_inst|rdata_v~70_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y5_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] ( +// Location: FF_X43_Y13_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~70_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 ( +// Location: LABCELL_X43_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][4]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][4]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .lut_mask = 64'h000F000F555F555F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), - .prn(vcc)); +// Location: MLABCELL_X47_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .lut_mask = 64'h04150415AEBFAEBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 ( +// Location: LABCELL_X50_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y5_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .lut_mask = 64'h3300330000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 ( +// Location: LABCELL_X50_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .lut_mask = 64'h00000000AAAAAAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), - .prn(vcc)); +// Location: LABCELL_X50_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0 .lut_mask = 64'h08880DDD08880DDD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 ( +// Location: LABCELL_X50_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [23]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .lut_mask = 64'h0000000100000051; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 ( +// Location: LABCELL_X50_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .lut_mask = 64'hFFFDFFFC00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .lut_mask = 64'h0005000400040004; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 ( +// Location: LABCELL_X50_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ) ) ) ) -// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [23]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~2_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~52_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~51_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~53_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .lut_mask = 64'hFFFFFFFF7575FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105 .lut_mask = 64'h1F000F0F5F550F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] ( +// Location: FF_X50_Y14_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector93~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~105_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 ( +// Location: MLABCELL_X52_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [17])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [2]))) ) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .lut_mask = 64'h0C080C085D595D59; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .lut_mask = 64'h05370526CDFF89AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 ( +// Location: LABCELL_X53_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [23]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux62~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .lut_mask = 64'hF0003030FF0F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] ( +// Location: FF_X53_Y20_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~16_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X53_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 ( +// Location: FF_X47_Y21_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y21_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout +// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[2]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .lut_mask = 64'h00000F0FFFFF0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .lut_mask = 64'h00000F0F33333F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] ( +// Location: FF_X55_Y20_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector44~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 ( +// Location: LABCELL_X48_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [23] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .lut_mask = 64'hAFAFAFAFA0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .lut_mask = 64'h085D085D2A7F2A7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y9_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] ( +// Location: FF_X48_Y20_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 ( +// Location: FF_X52_Y21_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[2] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .lut_mask = 64'hFCA8FCA800000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 ( +// Location: LABCELL_X53_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .lut_mask = 64'h05050505EE05EE05; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] ( +// Location: FF_X53_Y20_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 ( +// Location: LABCELL_X45_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), - .datae(gnd), - .dataf(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .lut_mask = 64'h05AF05AF05AF05AF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] ( +// Location: FF_X45_Y20_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector43~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~65_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X67_Y9_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE ( +// Location: FF_X57_Y16_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15 ( +// Location: LABCELL_X48_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15 .lut_mask = 64'h0FFF0FFF00F000F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .lut_mask = 64'h0C000CFF3F003FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder ( +// Location: FF_X48_Y20_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y20_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ))) ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .lut_mask = 64'hFFCC5544F0C05040; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] ( +// Location: FF_X46_Y22_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 ( +// Location: LABCELL_X51_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[24]~15_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .lut_mask = 64'h0000333355557777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 ( +// Location: LABCELL_X51_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [24] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .lut_mask = 64'hAAFFAAFFAA00AA00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .lut_mask = 64'hFDFFFDFFFDFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] ( +// Location: FF_X51_Y20_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 ( +// Location: LABCELL_X56_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .lut_mask = 64'h00F000F0AACCAACC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .lut_mask = 64'h1110DDD01FE1DF2D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X64_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) + + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~10 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .lut_mask = 64'h0000FFFF0000AAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 ( +// Location: LABCELL_X64_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29])) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29])) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .lut_mask = 64'h02021313CECEDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] ( +// Location: FF_X64_Y17_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25]~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y8_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] ( +// Location: FF_X63_Y17_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder ( +// Location: LABCELL_X63_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2])) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .lut_mask = 64'h02020202DFDFDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), - .prn(vcc)); +// Location: LABCELL_X62_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17 ( +// Location: LABCELL_X61_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17 .lut_mask = 64'h55FF55FF55005500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .lut_mask = 64'h00AA0A0A55FF5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 ( +// Location: LABCELL_X60_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[25]~17_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .lut_mask = 64'h0000FF000000396C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .lut_mask = 64'h030303FF111111FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), - .prn(vcc)); +// Location: LABCELL_X60_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .lut_mask = 64'hFF004F00BF000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 ( +// Location: LABCELL_X56_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .lut_mask = 64'hF0550000F055FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .lut_mask = 64'hFF005D00FF005500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] ( +// Location: FF_X67_Y17_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~19_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 ( +// Location: LABCELL_X67_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .lut_mask = 64'h00000F0F00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y6_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] ( +// Location: FF_X67_Y17_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~29_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 ( +// Location: LABCELL_X67_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [1]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] ( +// Location: FF_X67_Y17_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~27_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y5_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] ( +// Location: FF_X59_Y13_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[24] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y5_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~14 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder ( +// Location: MLABCELL_X59_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y6_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] ( +// Location: FF_X59_Y13_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~23_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 ( +// Location: MLABCELL_X59_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[2]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .lut_mask = 64'h0000000100040005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y5_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE ( +// Location: FF_X59_Y13_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~28_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 ( +// Location: LABCELL_X64_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), + .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~10 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y5_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 ( +// Location: LABCELL_X63_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .lut_mask = 64'h01450145ABEFABEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] ( +// Location: FF_X63_Y17_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y5_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] ( +// Location: FF_X63_Y17_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 ( +// Location: LABCELL_X63_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .lut_mask = 64'h0000001054445454; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .lut_mask = 64'h10101010BFBFBFBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 ( +// Location: LABCELL_X62_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .lut_mask = 64'hCCCCC0C000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 ( +// Location: LABCELL_X61_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9] -// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[35]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .lut_mask = 64'hFFFF333FFFFF777F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y5_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .lut_mask = 64'h05270527AF27AF27; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 ( +// Location: LABCELL_X61_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .lut_mask = 64'h03030303EEEE0303; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .lut_mask = 64'h0505053705CD05FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 ( +// Location: LABCELL_X50_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .lut_mask = 64'h80A280A2D5F7D5F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32 .lut_mask = 64'hF820F820FF22FF22; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~20_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), - .prn(vcc)); +// Location: LABCELL_X50_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1 .lut_mask = 64'h0BAB0BAB33333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 ( +// Location: LABCELL_X50_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .lut_mask = 64'h05AF05AF05AF05AF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2 .lut_mask = 64'h0000020000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector42~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), - .prn(vcc)); +// Location: LABCELL_X50_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3 .lut_mask = 64'h80008000C000C000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 ( +// Location: LABCELL_X50_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~32_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .lut_mask = 64'hF0FFF0FFF000F000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] ( +// Location: FF_X50_Y15_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[10]~33_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 ( +// Location: LABCELL_X53_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), - .datad(gnd), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .lut_mask = 64'h555500CF555500CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~18 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), - .shareout()); +// Location: FF_X53_Y16_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux27~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10 ( +// Location: LABCELL_X57_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [24]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10 .lut_mask = 64'h33330000FFFFCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .lut_mask = 64'h0F0A33225FA57799; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12 ( +// Location: LABCELL_X60_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12 .lut_mask = 64'h55FF55FF00AA00AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .lut_mask = 64'hAAAAAA2A0A0A8A0A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder ( +// Location: M10K_X49_Y21_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y12_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 ( + .portawe(vcc), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(vcc), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain(10'b0000000000), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(10'b0000000000), + .portbaddr(10'b0000000000), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8_PORTADATAOUT_bus ), + .portbdataout(), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .clk0_core_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .clk0_input_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .init_file_layout = "port_a"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .operation_mode = "rom"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_first_bit_number = 8; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_last_address = 1023; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_logical_ram_depth = 1024; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_a_write_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_b_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .port_b_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .mem_init4 = "0000000000000000000000000000000000000000000000000000000000000000000CC340143022D4966F59C40D8663E04E55FFA9FA7FFEAD2F7CF1DEC547984C1EE46B5AFC00F7BD88980087B3004213E4010A4E9204292003DC8E6D0E46B5AFC1E20C00AD6A5D4329C6236ECA1F16A188DBB28459A86236FC25484D51E1750A4662D4215861536C391CD80AF565202A9F8FE1587A1394270CD3FFAA81A2900004E92068A90406306C100A41058C1020C00819138425E5688257A54FD3F0988B0E280057800ECA1EA7C0B003DE0021EA7C0087BD2AD656FFAF9CDC001944503C4A003CA05FCED5C076C105F94D0015D0397E501004FD21001C1405BE1000610E"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .mem_init3 = "8391645415050DB804F3015640F04C0055C00502550014106C00A01C0702404058040F0701583E14854E8710024100102734005000610701B0EC3A0E839164540403201C5414210397E5D1005090AC0940901009FEC0AFF1D001405029F001405027F0D0A30DC0050181701FD7BC0E5F963001730317E518005223E739ED001405DC085F9448010100D0360E83C0F870148561500B01C38E84000841009425D42B0B4005E4040F9417E435005BE10004105430CC016D4005DC0058401EF077008410EC00594016F8750C80040000701FD001210016500163009005BC0001843A0E4591501515050F10040000601BCED5C072100A01009024521583C0F870153A"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .mem_init2 = "10001005E3705F47DC021040147D7907D405EC0167D42501014F8400D57CED5F5600010FDC17D1E70A00046C125F94F0A54D011510014F01153001630197E52081701FD7A53100140605C0703007800A107C1F0F83E0E03815C57158561D4751D09324C92240740031CC780705C0501F1CF9FD79404805C07F5F9401F970201701FD75180701E940D0360E03A0F03E14052150561605A1705E2409225096D8400E5B9401C0805C07F5E1001EF00170501FE501F3005865F9404053E1E4250016500142600021064140501003804010090101205830053E100041064390D0340C3E4CC3E40140705C07FA6E409370F90E5E40360681C0786502438F0400D4BCC0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .mem_init1 = "5F5701C00F2B37CD735D4265F96D001770917E5B2817EC005EC0E5F966009405A0005016A0017E5397D005AC00340A05825054070340D027F6EA029FAFCC069A0C03600174069400683ED034090AD00897E570005018A0D28E7080057E7CED6560817E528005603E5F94C0015C0F17E5841C00163078385F9440053E43804239C40B17E5400671328531CEC150EC18005A8125F96A00167E01292683F001E107DC0701C0A7DBE6840270182701C00F88C839F80497E7A00057D3CED53C0E17E7330EC3ACCAF8285FA60099757F95420DF5752037F5C04050090101A027D4D02A0817E52301701FD7F83F5B81E5803F5C0205F9620FD72079640FD740797E5A03"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8 .mem_init0 = "E4F5780717E5A8395E81C5F96C0857C0697E5C03D5F81A5F9720F5420617E5D023510125F961001E0041F1EC7007F5F1AC604605C07F5F5005C0705C27F9DD4F9807019D2819E701FF601FD6D4396E50070201701FD7A401781C0705C67F5E97A401741E97A401711E97F3017A5E96A529081E9605E94A40C805C07F5F5401F540201701FD7803270F8701C8741D8781E87C1F8B025096F9C1001C1201092D860000414052800129402004A000405004A5046A5012043E100000A020030005017F001C1002007A9C07F40060141005C0C03AE601AE601A250140F03C0E0380D0340C0300B02C0A0280902408020040100300C0200BC1005C1006007000080200"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] ( +// Location: FF_X47_Y15_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[26]~12_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~74 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), - .shareout()); +// Location: FF_X42_Y15_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 ( +// Location: LABCELL_X40_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [11]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[27]~10_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .lut_mask = 64'h0000FF000000396C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .lut_mask = 64'h0011001144554455; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] ( +// Location: FF_X40_Y13_N16 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~16_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]))))) ) ) +// Location: FF_X42_Y13_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X43_Y15_N40 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .lut_mask = 64'h808C808CB3BFB3BF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y7_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] ( +// Location: FF_X40_Y18_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~23_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 ( +// Location: FF_X39_Y18_N17 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .lut_mask = 64'h0C3F0C3F44447777; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] ( +// Location: FF_X40_Y14_N59 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27]~5_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 ( +// Location: LABCELL_X42_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~39 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc -// [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) +// \neorv32_top_inst|rdata_v~39_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 ), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [11]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), + .combout(\neorv32_top_inst|rdata_v~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .lut_mask = 64'h80808C8CB3B3BFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~39 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~39 .lut_mask = 64'hE0E0000000000000; +defparam \neorv32_top_inst|rdata_v~39 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~24_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), +// Location: M10K_X41_Y21_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[27] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X62_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 ( +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~40 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27])) ) ) +// \neorv32_top_inst|rdata_v~40_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout & ( ((!\neorv32_top_inst|rdata_v~39_combout ) # +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ))) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout & ( (!\neorv32_top_inst|rdata_v~39_combout +// ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .datad(!\neorv32_top_inst|rdata_v~39_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), + .combout(\neorv32_top_inst|rdata_v~40_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .lut_mask = 64'h0000353500003535; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~40 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~40 .lut_mask = 64'hFF03FF03FF57FF57; +defparam \neorv32_top_inst|rdata_v~40 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 ( +// Location: LABCELL_X46_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout = ( \neorv32_top_inst|rdata_v~40_combout & ( \neorv32_top_inst|rdata_v~68_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # (\neorv32_top_inst|rdata_v~66_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )) # (\neorv32_top_inst|rdata_v~42_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~40_combout & ( \neorv32_top_inst|rdata_v~68_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~66_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )) # (\neorv32_top_inst|rdata_v~42_combout ))) ) ) ) # ( \neorv32_top_inst|rdata_v~40_combout +// & ( !\neorv32_top_inst|rdata_v~68_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # (\neorv32_top_inst|rdata_v~66_combout +// )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~42_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) ) ) ) # ( +// !\neorv32_top_inst|rdata_v~40_combout & ( !\neorv32_top_inst|rdata_v~68_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~66_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~42_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|rdata_v~42_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datac(!\neorv32_top_inst|rdata_v~66_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datae(!\neorv32_top_inst|rdata_v~40_combout ), + .dataf(!\neorv32_top_inst|rdata_v~68_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .lut_mask = 64'h0C110CDD3F113FDD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] ( +// Location: FF_X46_Y15_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux58~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 ( +// Location: LABCELL_X55_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [3]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .lut_mask = 64'h880C880CBB3FBB3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y7_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~21_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .lut_mask = 64'h7351735162406240; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 ( +// Location: LABCELL_X62_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .lut_mask = 64'hF0F0F0F0F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .lut_mask = 64'hF500F500FD00F500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] ( +// Location: FF_X55_Y15_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26]~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 ( +// Location: LABCELL_X56_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .lut_mask = 64'h8A028A02DF57DF57; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[13]~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] ( +// Location: FF_X57_Y15_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~22_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 ( +// Location: LABCELL_X42_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .lut_mask = 64'h000000000505AFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36 .lut_mask = 64'h555555550F0F0F0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), +// Location: M10K_X38_Y18_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[26] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X64_Y7_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X26_Y13_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[26] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 ( +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X43_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~60 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 )) +// \neorv32_top_inst|rdata_v~60_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout ), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4~portbdataout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), + .combout(\neorv32_top_inst|rdata_v~60_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y5_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[26] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~60 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~60 .lut_mask = 64'h0505050505FF05FF; +defparam \neorv32_top_inst|rdata_v~60 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 ( +// Location: LABCELL_X43_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) -// ) ) ) +// \neorv32_top_inst|rdata_v~61_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( \neorv32_top_inst|rdata_v~60_combout ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( \neorv32_top_inst|rdata_v~60_combout ) ) # ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( !\neorv32_top_inst|rdata_v~60_combout & ( (!\neorv32_top_inst|rdata_v~59_combout ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( !\neorv32_top_inst|rdata_v~60_combout & ( +// !\neorv32_top_inst|rdata_v~59_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|rdata_v~59_combout ), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 ), + .dataf(!\neorv32_top_inst|rdata_v~60_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), + .combout(\neorv32_top_inst|rdata_v~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .lut_mask = 64'h0000001054445454; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~61 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~61 .lut_mask = 64'hFF00FF0FFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~61 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X48_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout = ( \neorv32_top_inst|rdata_v~63_combout & ( \neorv32_top_inst|rdata_v~70_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # ((\neorv32_top_inst|rdata_v~61_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~73_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~63_combout & ( \neorv32_top_inst|rdata_v~70_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # ((\neorv32_top_inst|rdata_v~61_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((\neorv32_top_inst|rdata_v~73_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~63_combout & ( !\neorv32_top_inst|rdata_v~70_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~61_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~73_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|rdata_v~63_combout +// & ( !\neorv32_top_inst|rdata_v~70_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|rdata_v~61_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((\neorv32_top_inst|rdata_v~73_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datac(!\neorv32_top_inst|rdata_v~61_combout ), + .datad(!\neorv32_top_inst|rdata_v~73_combout ), + .datae(!\neorv32_top_inst|rdata_v~63_combout ), + .dataf(!\neorv32_top_inst|rdata_v~70_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .lut_mask = 64'h024613578ACE9BDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] ( +// Location: FF_X48_Y14_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 ( +// Location: LABCELL_X56_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret -// [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .lut_mask = 64'h0000000000000311; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .lut_mask = 64'h55005500E4E4E4E4; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 ( +// Location: LABCELL_X64_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [26]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .lut_mask = 64'hF0A0F0A000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder ( +// Location: LABCELL_X63_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .lut_mask = 64'h0A4E0A4E1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y7_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] ( +// Location: FF_X63_Y17_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 ( +// Location: LABCELL_X61_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10] & ( -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq -// [10] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec -// [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .lut_mask = 64'hFFFF5577FFFF5F7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .lut_mask = 64'h02020202DFDFDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector90~4_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), - .prn(vcc)); +// Location: LABCELL_X62_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 ( +// Location: LABCELL_X61_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .lut_mask = 64'h0033AABB0033AA11; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux59~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .lut_mask = 64'h220A220A775F775F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [26]), - .prn(vcc)); +// Location: LABCELL_X61_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .lut_mask = 64'h00110F1F33113F1F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 ( +// Location: LABCELL_X53_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [4])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .lut_mask = 64'h3530353535303535; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] ( +// Location: FF_X53_Y16_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux26~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y5_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), - .prn(vcc)); +// Location: MLABCELL_X59_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .lut_mask = 64'h11BB1FBF1EB4010B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), - .prn(vcc)); +// Location: LABCELL_X60_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .lut_mask = 64'hF0F0B0F040F000F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 ( +// Location: MLABCELL_X59_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret -// [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .lut_mask = 64'h000000000000010D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .lut_mask = 64'hC0C0CCCCC8C0CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 ( +// Location: LABCELL_X56_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20 .lut_mask = 64'h00CC00CC33FF33FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[11]~20 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y7_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] ( +// Location: FF_X57_Y15_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27]~4_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 ( +// Location: LABCELL_X40_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), + .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34 .lut_mask = 64'h0F000F000FFF0FFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), +// Location: M10K_X41_Y14_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 ( + .portawe(vcc), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(vcc), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain(10'b0000000000), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(10'b0000000000), + .portbaddr(10'b0000000000), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), - .prn(vcc)); + .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0_PORTADATAOUT_bus ), + .portbdataout(), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .clk0_core_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .clk0_input_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .init_file_layout = "port_a"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .operation_mode = "rom"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 1023; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 1024; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_a_write_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init4 = "000000000000000000000000000000000000000000000000000000000000000000210E591280B1AF684C13040E225CC30DEB3B58B795E36354B63D8D6258B2A405725E96280131DAF628002BD870008D71167635A9015AE000AB635A5725E9628834A80063D892880823D897AC8F6044F625EB23D8113D89615EE3248F624A2725A930988324C8595C96B002225EC00DCB624AF2B4ED40D8363DC9488844A800635A92112A20D6A204835A883428834A801339433148D6090A305AD63D43139411080230C017ACED7A80E008C5024ED6A00030DAB638EB6A5C96B0014394A0292601F773FF7FC18279FF26F9E7F01A7FC9BE741C27C18770182709F2605C2609"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init3 = "8260982609826E1C06C9C2EDFF7FDFC46DFC2605F7F21B7FDFC7E1DC260180629C2609CA709CA709C27C9B7F098F725C87DFC0605C260982609826098260982619C7781C2609A7FC9BE7C5C8605CA729C3601806D3826C1B7F0183609B7F0183601F7FC9F7F9FC861DCFF01F069FF26F9E7F01E7FC9BE701C0629EF647F7F018079FF26F9C27098E709CA709C2729CA709C2709E07018A7C9817098266B8A6DFF7FDFC06DFC77E18AEDFF7F09F2605C2609826DFCA7DFC06DFC06DFC27C9B7F09826DFC86DFC27C9B7FC1C061DC7709B7F09B7F01B7F05B7F21C86DFC1709826098260982609826E1C0635C5709B7FC18060DC06018360982709C2729CA709F2"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init2 = "605CE71FBFE19B06DFC260982623B7F2B80EDFC272B82E09827C9817C1B7FC1B8705CE7FF866C1B7F01C06DFF26F9F7F21F7F01B7F01B7F01B7F05B7FC9BE71DCFF01F0601F7F018771DA0725C8621C2609826098260982609826098260982609826098260982601B87E1CF729CF73FB87E1B06DFC773FC47C1B871FB061DCFF09F0625CF681C8729CA709C2709C2729CA709C2729CA709C2729CA709C27C9807C1F87018773FC27C187701B7F29F261DFE721B7F09F26F9C2609F26DFF7F05B7F0189705C26098260982605C06038860982709CA709F2605C26098260982609B87DFF061F8973F82FC1B1601B7FF9C06DFCA709C2709C06098A7C9817E1F773"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init1 = "FF0601C17EDF06C1B06DFF26F9F7F01B7FC9BE7DDC16DFC06DFF26F9D0741C2641C260190701EB647F2F09907098D709CA7198270980601F06F9CA7F9B570B90701C07098070190741F8609C0703807C9BE701C8609D07E9C0601C262BB2FC1807C9BE701C0701F26F9C0701807C9BE7DFD0701B7F01F26F9C0705B0721C8701807C9BE721C8621F360180713C0701C0601F26F9D0701BAF21F3F01F7F1BB7F3F8763B84713BF6DFC6E15C6619857F9C8721807DDBE701C0623B0FC1807DDBE7018860180601F36F9C07C9B06F9C771FF061DCFFC188709C872180701F0601C07C9BE71DCFF11F0601F0601F0601F0601F26F9C07C1807C1807C1807C9BE701F"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0 .mem_init0 = "E7C1807C9BE701F0701F26F9C0701C07C9BE701F0601F26F9C07C1907C9BE701F8741F26F9C770187701B07E1CFEC1B07C1C773FC47C1B873FC073FC76F98DFF580601C7F919F601BEF05F06C1F07E1C061DCFF09F06E1CFF598073FDE6DFF06E1CFF01F06E1CFF01F06DDCFFC1B07C1F871DB0703F07C1C773FD07C1B8741B071DCFF01F061DD7629CA709C2729CA709C2729CA709C27F9C7781C1781C27C988709826098072180701C8601C862780601C0F21C2709F2601C0709C0701C0601B7F198673BE36D9A26DFC460D8C70D84F0DF5689B4689B3601806018060180601806018060180601806018060180601806018060193689826898170580601C0F"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 ( +// Location: LABCELL_X37_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) -// ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .lut_mask = 64'h0000010054445544; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [27]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X37_Y15_N16 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .lut_mask = 64'h8C8C8C8C00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y7_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] ( +// Location: FF_X42_Y14_N2 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 ( +// Location: LABCELL_X45_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ) -// # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [11]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [5]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .lut_mask = 64'hDDDFDDDFDDDFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .lut_mask = 64'h0033003303030303; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y7_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] ( +// Location: FF_X45_Y15_N38 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector89~4_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 ( +// Location: LABCELL_X46_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27] ) ) ) +// \neorv32_top_inst|rdata_v~11_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5]), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), + .combout(\neorv32_top_inst|rdata_v~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .lut_mask = 64'h00000F0FAAAA8D8D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~11 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~11 .lut_mask = 64'hC080C08000000000; +defparam \neorv32_top_inst|rdata_v~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux58~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), +// Location: M10K_X38_Y10_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y7_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~12 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~12_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout & ( ((!\neorv32_top_inst|rdata_v~11_combout ) # +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout ))) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout & ( +// (!\neorv32_top_inst|rdata_v~11_combout ) # ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|rdata_v~11_combout ), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~12_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~12 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~12 .lut_mask = 64'hF0F5F0F5F3F7F3F7; +defparam \neorv32_top_inst|rdata_v~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 ( +// Location: MLABCELL_X47_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout = ( \neorv32_top_inst|rdata_v~30_combout & ( \neorv32_top_inst|rdata_v~28_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// (\neorv32_top_inst|rdata_v~12_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((\neorv32_top_inst|rdata_v~15_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) ) ) ) +// # ( !\neorv32_top_inst|rdata_v~30_combout & ( \neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )) # +// (\neorv32_top_inst|rdata_v~12_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|rdata_v~15_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) ) ) +// ) # ( \neorv32_top_inst|rdata_v~30_combout & ( !\neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~12_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ) # +// (\neorv32_top_inst|rdata_v~15_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~30_combout & ( !\neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~12_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((\neorv32_top_inst|rdata_v~15_combout ))))) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [27]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datab(!\neorv32_top_inst|rdata_v~12_combout ), + .datac(!\neorv32_top_inst|rdata_v~15_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datae(!\neorv32_top_inst|rdata_v~30_combout ), + .dataf(!\neorv32_top_inst|rdata_v~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .lut_mask = 64'h00AA00AA55FF55FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .lut_mask = 64'h2700275527AA27FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] ( +// Location: FF_X47_Y13_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector40~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 ( +// Location: LABCELL_X50_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .lut_mask = 64'hAAAAFFFFAAAA0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .lut_mask = 64'h00330033CCFFCCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] ( +// Location: FF_X53_Y18_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~13_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 ( +// Location: LABCELL_X53_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .lut_mask = 64'h4444F5F54444A0A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 ( +// Location: LABCELL_X63_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .lut_mask = 64'h0F550F550F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28]~6_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[28] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X62_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) -// Location: FF_X64_Y8_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), - .prn(vcc)); +// Location: MLABCELL_X59_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [37]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .lut_mask = 64'h048C048C37BF37BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 ( +// Location: MLABCELL_X59_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .lut_mask = 64'h0505053705FF0537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), - .prn(vcc)); +// Location: MLABCELL_X59_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .lut_mask = 64'h050436CDF5C4C6FD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), - .prn(vcc)); +// Location: MLABCELL_X59_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .lut_mask = 64'hFF00BF0073003300; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 ( +// Location: LABCELL_X57_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .lut_mask = 64'h0000010054445544; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .lut_mask = 64'hF0F000F0F0F080F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 ( +// Location: LABCELL_X57_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .lut_mask = 64'h0303F3F30303F3F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X57_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .lut_mask = 64'h00003333CCCCFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28]~5_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), - .prn(vcc)); +// Location: LABCELL_X57_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[3]~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~10 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 ( +// Location: LABCELL_X57_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~26 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), - .prn(vcc)); +// Location: LABCELL_X57_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~27_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 ( +// Location: LABCELL_X57_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[6]~28_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~114 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .lut_mask = 64'h0000000100100011; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 ( +// Location: LABCELL_X57_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [28]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[7]~29_combout ), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .lut_mask = 64'h8A8A8A8A00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .lut_mask = 64'h0000FF000000596A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 ( +// Location: LABCELL_X57_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]))) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~122 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .lut_mask = 64'hC044C044F377F377; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] ( +// Location: LABCELL_X57_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~126 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~106 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .lut_mask = 64'h0000A695000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X57_Y15_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~25_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 ( +// Location: LABCELL_X40_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28] ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [28]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .lut_mask = 64'h0000000055550F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33 .lut_mask = 64'h555555550000FFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq -// [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ) -// # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~3_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X38_Y14_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .lut_mask = 64'hF0F3F5F7FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y7_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] ( +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X39_Y14_N5 +dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector88~4_combout ), + .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -41180,8588 +51433,9397 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), + .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 ( +// Location: M10K_X26_Y18_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata -// [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|rdata_v~22_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), + .combout(\neorv32_top_inst|rdata_v~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .lut_mask = 64'h11111111F1F1C1C1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~22 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~22 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|rdata_v~22 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 ( +// Location: LABCELL_X43_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout )))) ) ) +// \neorv32_top_inst|rdata_v~23_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( \neorv32_top_inst|rdata_v~22_combout ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( \neorv32_top_inst|rdata_v~22_combout ) ) # ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( !\neorv32_top_inst|rdata_v~22_combout & ( (!\neorv32_top_inst|rdata_v~21_combout ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( !\neorv32_top_inst|rdata_v~22_combout & ( +// !\neorv32_top_inst|rdata_v~21_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux57~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~21_combout ), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 ), + .dataf(!\neorv32_top_inst|rdata_v~22_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), + .combout(\neorv32_top_inst|rdata_v~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .lut_mask = 64'h8ADF8ADF02570257; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~23 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~23 .lut_mask = 64'hF0F0F0FFFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] ( +// Location: LABCELL_X45_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout = ( \neorv32_top_inst|rdata_v~78_combout & ( \neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// (((\neorv32_top_inst|rdata_v~75_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) # ((\neorv32_top_inst|rdata_v~25_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~78_combout & ( \neorv32_top_inst|rdata_v~23_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & ((\neorv32_top_inst|rdata_v~75_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ) # ((\neorv32_top_inst|rdata_v~25_combout )))) ) ) ) # ( \neorv32_top_inst|rdata_v~78_combout +// & ( !\neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (((\neorv32_top_inst|rdata_v~75_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout +// ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~25_combout ))) ) ) ) # ( +// !\neorv32_top_inst|rdata_v~78_combout & ( !\neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & +// ((\neorv32_top_inst|rdata_v~75_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout & (\neorv32_top_inst|rdata_v~25_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6]~0_combout ), + .datac(!\neorv32_top_inst|rdata_v~25_combout ), + .datad(!\neorv32_top_inst|rdata_v~75_combout ), + .datae(!\neorv32_top_inst|rdata_v~78_combout ), + .dataf(!\neorv32_top_inst|rdata_v~23_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .lut_mask = 64'h018923AB45CD67EF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y13_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~26_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux55~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[28] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28])) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [28]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .lut_mask = 64'h05AF05AF05AF05AF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y8_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] ( +// Location: FF_X53_Y20_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector39~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 ( +// Location: LABCELL_X56_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .lut_mask = 64'hF5F5F5F5A0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .lut_mask = 64'h22F322F322C022C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE ( +// Location: FF_X61_Y16_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~24_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[38] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 ( +// Location: LABCELL_X62_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~26 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder ( +// Location: MLABCELL_X65_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .lut_mask = 64'h00CC33FF0C0C3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[13] .power_up = "low"; -// synopsys translate_on +// Location: MLABCELL_X65_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) -// Location: FF_X68_Y8_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .lut_mask = 64'h31313B3B31313B3B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13 ( +// Location: LABCELL_X60_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [29]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13 .lut_mask = 64'h3F3F3F3F30303030; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .lut_mask = 64'h0300033357555777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder ( +// Location: MLABCELL_X59_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] +// $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] +// $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .lut_mask = 64'h030CFCCF564D564D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y8_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), - .prn(vcc)); +// Location: LABCELL_X60_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .lut_mask = 64'hFF00BF004F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9 ( +// Location: MLABCELL_X59_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9 .lut_mask = 64'h00F000F0FFF0FFF0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .lut_mask = 64'h8888AAAAA888AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 ( +// Location: LABCELL_X55_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[28]~9_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .lut_mask = 64'h0000C693000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .lut_mask = 64'h0000EE003333EE33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 ( +// Location: LABCELL_X53_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[29]~13_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] ( +// Location: FF_X53_Y21_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .power_up = "low"; +// synopsys translate_on + +// Location: FF_X52_Y20_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 ( +// Location: LABCELL_X55_Y21_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q -// ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [7])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .lut_mask = 64'hCF03CF0347474747; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .lut_mask = 64'h084C084C3B7F3B7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y7_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] ( +// Location: FF_X55_Y21_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~73_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 ( +// Location: LABCELL_X42_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .lut_mask = 64'h0500F50005FFF5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] ( +// Location: FF_X42_Y18_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29]~13_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 ( +// Location: LABCELL_X45_Y19_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [29]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .lut_mask = 64'hA3A30000A3A3FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .lut_mask = 64'h000000000000AAA0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y8_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] ( +// Location: FF_X46_Y22_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~74_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 ( +// Location: MLABCELL_X47_Y20_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [29]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), + .dataa(gnd), + .datab(gnd), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .lut_mask = 64'h0000000033335555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X64_Y5_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~30 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y8_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] ( +// Location: FF_X47_Y20_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[29] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X64_Y5_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[29] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y5_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 ( +// Location: MLABCELL_X47_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .lut_mask = 64'h0000000100040005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y5_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .lut_mask = 64'hCC00C00088008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 ( +// Location: LABCELL_X48_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), + .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal264~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .lut_mask = 64'hFFF0FFF000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] ( +// Location: FF_X48_Y21_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y5_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] ( +// Location: FF_X50_Y22_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 ( +// Location: LABCELL_X48_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ))) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .lut_mask = 64'h0000010054445544; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .lut_mask = 64'h0537053705370537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 ( +// Location: LABCELL_X45_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC +// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .lut_mask = 64'hF0F0A0A000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X57_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & ( -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout & -// ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .lut_mask = 64'hFFFFFFFF03FF57FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector87~4_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[29] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .lut_mask = 64'h000F000FCC8BCC8B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .lut_mask = 64'h0000CCCC00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y7_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] ( +// Location: FF_X45_Y18_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux56~0_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[29] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y7_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [29]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .lut_mask = 64'h0000AAAA5555FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y8_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] ( +// Location: FF_X39_Y17_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector38~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 ( +// Location: MLABCELL_X59_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .lut_mask = 64'hF0F0F0F0FF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y8_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE ( +// Location: FF_X59_Y17_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~16_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X36_Y17_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 ( +// Location: LABCELL_X36_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .lut_mask = 64'h0F0F0F0FF0F0F0F0; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder ( +// Location: MLABCELL_X59_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .lut_mask = 64'h0F000F000FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] ( +// Location: FF_X59_Y19_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y15_N23 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14 ( +// Location: MLABCELL_X59_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14 .lut_mask = 64'h33003300FFCCFFCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .lut_mask = 64'h03030303CFCFCFCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder ( +// Location: FF_X59_Y19_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y17_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] ( +// Location: FF_X59_Y19_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout ) + ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]))))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[30]~14_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~54 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), - .shareout()); +// Location: FF_X40_Y15_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .lut_mask = 64'h0000A695000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y8_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] ( +// Location: FF_X37_Y17_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 ( +// Location: MLABCELL_X39_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .lut_mask = 64'hA0F0AFFF00500F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .lut_mask = 64'h8020080240100401; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] ( +// Location: FF_X36_Y17_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~75_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] +// Location: FF_X40_Y17_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y15_N55 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .lut_mask = 64'hCCCCCCCCCCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y8_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] ( +// Location: FF_X39_Y15_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30]~14_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 ( +// Location: LABCELL_X57_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout -// ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .lut_mask = 64'hCC000F0FCCFF0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .lut_mask = 64'h0C0C0C0C3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] ( +// Location: FF_X57_Y19_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~76_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y9_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] ( +// Location: FF_X43_Y15_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[14] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [30]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .lut_mask = 64'h000000FF1D1D1DFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y8_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] ( +// Location: FF_X51_Y15_N23 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y5_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] ( +// Location: FF_X45_Y16_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 ( +// Location: LABCELL_X40_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .lut_mask = 64'h8200410000820041; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .shared_arith = "off"; +// synopsys translate_on - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [30]), - .datac(gnd), - .datad(gnd), +// Location: MLABCELL_X39_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25])))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~118 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .lut_mask = 64'h0000000082418241; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X36_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .lut_mask = 64'h00000000C3C3C3C3; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), - .prn(vcc)); +// Location: MLABCELL_X39_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] +// & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) +// # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] +// & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .lut_mask = 64'h4CDF04CD0CCF00CC; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 ( +// Location: LABCELL_X40_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[30]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [30]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .lut_mask = 64'h0000000000000123; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .lut_mask = 64'h08CE0000FFFF08CE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 ( +// Location: MLABCELL_X39_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo +// [24]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo +// [24]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .lut_mask = 64'hFFEFFFEE00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .lut_mask = 64'hFFFF0000B2F30000; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 ( +// Location: LABCELL_X36_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~118 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .lut_mask = 64'h00000000EFFFAEAF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] ( +// Location: FF_X37_Y17_N56 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 ( +// Location: LABCELL_X50_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [30]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .lut_mask = 64'h0505050505FF05FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~3_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X50_Y16_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .lut_mask = 64'hFFFF1F1FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] ( +// Location: FF_X37_Y17_N13 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 ( +// Location: LABCELL_X50_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .lut_mask = 64'h1111FFCC11111111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] ( +// Location: FF_X50_Y16_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y17_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux55~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT ( +// Location: FF_X40_Y18_N55 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 ( +// Location: LABCELL_X37_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc -// [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [30]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .lut_mask = 64'h303030303F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y8_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector37~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .lut_mask = 64'hA5A500000000A5A5; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 ( +// Location: LABCELL_X37_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .lut_mask = 64'hAAAAAAAAFF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .lut_mask = 64'h2020BABA2020BABA; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y8_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] ( +// Location: FF_X37_Y17_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 ( +// Location: FF_X40_Y18_N46 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 -// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]))) +// ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout +// ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout +// & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout +// & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])))) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .lut_mask = 64'h0000FFFF0000AAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .lut_mask = 64'hEF00CE00FF00CF00; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 ( +// Location: LABCELL_X50_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .lut_mask = 64'h0A5F0A5F0A5F0A5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] ( +// Location: FF_X50_Y16_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~77_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5])) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [5]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y17_N4 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .lut_mask = 64'h0000000000500050; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .power_up = "low"; // synopsys translate_on -// Location: FF_X67_Y8_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] ( +// Location: FF_X39_Y17_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 ( +// Location: MLABCELL_X39_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [31]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .lut_mask = 64'h05FA05FAAF50AF50; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .lut_mask = 64'h8200410000820041; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 ( +// Location: MLABCELL_X39_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~136_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .lut_mask = 64'h0000C0F3000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .lut_mask = 64'h08CE8CEF08CE08CE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y8_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] ( +// Location: FF_X39_Y15_N16 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 ( +// Location: LABCELL_X36_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]))))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]) # (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .lut_mask = 64'h0A8AAFEF0008AAAE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .shared_arith = "off"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), +// Location: LABCELL_X36_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .lut_mask = 64'h80A280A2D5F7D5F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .lut_mask = 64'h8020401008020401; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] ( +// Location: FF_X39_Y18_N41 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~78_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) +// Location: FF_X39_Y18_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[10] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), +// Location: FF_X40_Y18_N28 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .lut_mask = 64'h8020401008020401; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y8_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] ( +// Location: FF_X37_Y18_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31]~15_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 ( +// Location: LABCELL_X37_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6])))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [31]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .lut_mask = 64'hCCCC0F0F00FF0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .lut_mask = 64'h8421000000008421; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X37_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & +// (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .lut_mask = 64'h08CE08CE8CEF08CE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE ( +// Location: FF_X40_Y18_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y9_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] ( +// Location: FF_X39_Y17_N37 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[15] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval -// [31])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [31]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [15]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .lut_mask = 64'h000033330F553F77; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y8_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] ( +// Location: FF_X39_Y17_N41 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y5_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE ( +// Location: FF_X40_Y18_N4 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 ( +// Location: MLABCELL_X39_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q & +// ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo +// [0] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .lut_mask = 64'h0F0FAFAF00000A0A; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y5_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] ( +// Location: FF_X37_Y18_N47 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[31] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X62_Y5_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle -// [31] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [31]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .lut_mask = 64'h000000000F080F2A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] ( +// Location: FF_X37_Y18_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y5_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 ( +// Location: LABCELL_X37_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & ( +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .lut_mask = 64'h30FFF3FF003000F3; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X37_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo +// [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & +// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & +// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .lut_mask = 64'hFF00AF00AF00AA00; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y5_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] ( +// Location: FF_X39_Y18_N38 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 ( +// Location: MLABCELL_X39_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret -// [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]) # +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .lut_mask = 64'h0000000000000053; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .lut_mask = 64'h088C0088CEEFCCEE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 ( +// Location: MLABCELL_X39_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]))) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [31]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~1_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo +// [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout )))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .lut_mask = 64'hCCC0CCC000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .lut_mask = 64'h0400050455555555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 ( +// Location: LABCELL_X37_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]))) ) ) ) # +// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~3_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .lut_mask = 64'hFFFFFFFF3737FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .lut_mask = 64'h2121000000002121; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector85~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), - .prn(vcc)); +// Location: LABCELL_X36_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .lut_mask = 64'hD0D0D0D0D0C0C0C0; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 ( +// Location: LABCELL_X36_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout +// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout & +// (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .lut_mask = 64'h00330033F0A3F0A3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .lut_mask = 64'hCC00FD00CC00FF00; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] ( +// Location: FF_X36_Y17_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux54~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y8_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] ( +// Location: FF_X39_Y17_N28 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 ( +// Location: MLABCELL_X39_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0])))) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [31]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [31]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .lut_mask = 64'h00F000F00FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .lut_mask = 64'h0090009000090009; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] ( +// Location: FF_X45_Y17_N38 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector36~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[31] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X68_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .lut_mask = 64'hFF0FFF0FF000F000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y8_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] ( +// Location: FF_X45_Y17_N47 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~18_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 ( +// Location: LABCELL_X45_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .lut_mask = 64'h00AA00AAFFAAFFAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X67_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 ( +// Location: LABCELL_X45_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ) ) + ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q -// ))) ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[31]~31_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opb[31]~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~62 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), - .cout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .lut_mask = 64'h0000F05A000000AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~129_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y17_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .lut_mask = 64'h3737777737777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux31~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder ( +// Location: LABCELL_X45_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X68_Y14_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), - .prn(vcc)); + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N14 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] ( +// Location: FF_X45_Y17_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 ( +// Location: LABCELL_X45_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ) ) - - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y17_N14 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .lut_mask = 64'h5555555500FF00FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 ( +// Location: LABCELL_X45_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) -// # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ))) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .lut_mask = 64'h0F0C0F0C0F0C0F0C; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N47 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] ( +// Location: FF_X45_Y17_N17 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 ( +// Location: LABCELL_X45_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 ( +// Location: FF_X45_Y17_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[26] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .lut_mask = 64'h00FF00FF33333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N49 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] ( +// Location: FF_X45_Y17_N22 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 ( +// Location: LABCELL_X45_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 ( +// Location: FF_X45_Y17_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .lut_mask = 64'h555555550F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] ( +// Location: FF_X45_Y17_N29 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 ( +// Location: LABCELL_X45_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 ( +// Location: FF_X45_Y17_N32 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .lut_mask = 64'h333333330F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N14 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] ( +// Location: FF_X45_Y17_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 )) +// Location: FF_X40_Y16_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// Location: LABCELL_X45_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [30] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [30] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [30] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .lut_mask = 64'h8040201008040201; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 ( +// Location: LABCELL_X37_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13] ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .lut_mask = 64'h0F0F0F0F55555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N11 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] ( +// Location: FF_X37_Y15_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 ( +// Location: LABCELL_X37_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .lut_mask = 64'h8040080420100201; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 ( +// Location: FF_X45_Y18_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y18_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y18_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y18_N37 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [2] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [3]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .lut_mask = 64'h0F0F0F0F33333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .lut_mask = 64'hA00A5005A00A5005; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N8 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] ( +// Location: FF_X39_Y17_N26 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 ( +// Location: MLABCELL_X39_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .lut_mask = 64'h9000009009000009; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 ( +// Location: LABCELL_X36_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .lut_mask = 64'h0F0F0F0F55555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .lut_mask = 64'h0000000000000005; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N23 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] ( +// Location: FF_X39_Y18_N46 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 ( +// Location: FF_X39_Y18_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[8] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X39_Y18_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[10] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .lut_mask = 64'h8484848421212121; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 ( +// Location: MLABCELL_X39_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7] ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7] ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .lut_mask = 64'h0000FFFF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .lut_mask = 64'h00C00030000C0003; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N26 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] ( +// Location: FF_X40_Y16_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y17_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .lut_mask = 64'h0000000000003333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 ( +// Location: LABCELL_X40_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]))) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .lut_mask = 64'h555555550F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .lut_mask = 64'hA050A0500A050A05; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N56 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] ( +// Location: FF_X37_Y17_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 ( +// Location: FF_X42_Y16_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18] ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), + .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 ( +// Location: FF_X37_Y15_N59 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .lut_mask = 64'h0F0F0F0F55555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .lut_mask = 64'hC300C30000C300C3; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N41 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] ( +// Location: FF_X45_Y18_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 -// )) -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 )) +// Location: FF_X45_Y18_N46 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE .power_up = "low"; +// synopsys translate_on - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), +// Location: LABCELL_X37_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout +// & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout +// & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout +// & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout +// & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ), - .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .lut_mask = 64'h0000000000003333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .lut_mask = 64'h2200110000220011; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 ( +// Location: LABCELL_X37_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10] ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .lut_mask = 64'h0F0F0F0F33333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N38 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] ( +// Location: FF_X37_Y15_N11 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 ( +// Location: LABCELL_X37_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 -// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & +// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), - .datab(gnd), - .datac(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .lut_mask = 64'h0000000000005555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .lut_mask = 64'hC3C300000000C3C3; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & -// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) # ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11])))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & -// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11])))) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]))) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11])))) ) ) +// Location: FF_X36_Y17_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X36_Y17_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .lut_mask = 64'h025702578ADF8ADF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y17_N38 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] ( +// Location: FF_X45_Y17_N58 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y17_N53 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y16_N4 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y18_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X40_Y16_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 ( +// Location: LABCELL_X40_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt -// [9] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] $ +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .lut_mask = 64'h8000800000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .lut_mask = 64'h8008200240041001; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 ( +// Location: LABCELL_X45_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3] -// & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi +// [24])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .lut_mask = 64'hCC00000000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .lut_mask = 64'h0000900900009009; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 ( +// Location: LABCELL_X36_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0])) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .lut_mask = 64'h0000000000008080; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .lut_mask = 64'h0000000090900909; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 ( +// Location: LABCELL_X36_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout -// & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .lut_mask = 64'h4444444455445544; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y17_N59 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y17_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 ( +// Location: LABCELL_X45_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) -// ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & +// ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [30] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [29])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [30] & ( (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .lut_mask = 64'h0000FFFFF0F00F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .lut_mask = 64'h7F77151157550100; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y17_N1 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), - .prn(vcc)); +// Location: LABCELL_X40_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .lut_mask = 64'h2000AA20BAAAFFBA; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 ( +// Location: LABCELL_X45_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) -// # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi +// [24]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .lut_mask = 64'hFFF0FFF0F0FFF0FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .lut_mask = 64'hFFFFDD4D00000000; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y17_N58 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ), - .prn(vcc)); +// Location: MLABCELL_X39_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] & ( +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .lut_mask = 64'h3B330200FF3B3302; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 ( +// Location: LABCELL_X37_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) -// # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] $ -// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2])))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18])) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .lut_mask = 64'hF8F7F8F7F0FFF0FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y15_N34 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .lut_mask = 64'h40404040F4F4F4F4; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we ( +// Location: LABCELL_X37_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] -// & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ) # +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .lut_mask = 64'h0000000080008000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .lut_mask = 64'hEC00EE00FE00FF00; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 ( +// Location: MLABCELL_X39_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .lut_mask = 64'h00FF00FFF0F0F0F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .lut_mask = 64'h44004400DDCCDDCC; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), - .prn(vcc)); +// Location: MLABCELL_X39_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .lut_mask = 64'hAAA2AAA2AA20AAA2; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2 ( +// Location: LABCELL_X40_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( -// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|io_rden~combout & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ))) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # ((!\neorv32_top_inst|io_rden~combout ) # -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi +// [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi +// [4] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|io_rden~combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2 .lut_mask = 64'h00FE00FE01FF01FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y15_N14 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .lut_mask = 64'h00008888CCCCEEEE; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty ( +// Location: LABCELL_X37_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .lut_mask = 64'h33333333CCCCCCCC; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .lut_mask = 64'h0C0CFFFF00000C0C; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we ( +// Location: MLABCELL_X39_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]) # +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1])) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y15_N7 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .lut_mask = 64'h40404040F4F4F4F4; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0 ( +// Location: LABCELL_X37_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( (!\neorv32_top_inst|io_rden~combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout )) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & ( ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3])) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), .datab(gnd), - .datac(!\neorv32_top_inst|io_rden~combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0 .lut_mask = 64'hFFFFFFFFF5F0F5F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y15_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [1]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .lut_mask = 64'h5000FF50F500FFF5; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N13 -dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X36_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout +// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout )) +// ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ) ) ) ) -// Location: M10K_X58_Y8_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 ( - .portawe(vcc), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(vcc), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain(10'b0000000000), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(10'b0000000000), - .portbaddr(10'b0000000000), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2_PORTADATAOUT_bus ), - .portbdataout(), - .eccstatus(), - .dftout()); + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .clk0_core_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .clk0_input_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .init_file_layout = "port_a"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .operation_mode = "rom"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 1023; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 1024; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_a_write_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .mem_init4 = "000000000000000000000000000000000000000000000000000000100C00000000080100000008010040200C0100C010080100C030000000801000000040300002008030000300C000000100C00000000080000800000000040300C030000200003004020000200C01008000080300402000000080000C0000C000000300400000000040000C000000300C0300003008000000000C0300403000000000100C0300C0000C0300C0300C0300002008000000200C0000003008030040300C03000020040200C0300401004010000300C0300800000000000300C02008020080200802008030080200C0200C02008020080200802008020080200802008020080200"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .mem_init3 = "802008020080300C03008030080200C0200C030080200802008020080200802008020080200C020080200803008020080200802008020080200802008020080200C0200802008020080200802008020080200C020080200C020080200C0200C030080200802008030080200C0200C0200803008020080200C020080300802008020080200802008020080200802008020080200802008020080300C0300803008020080300C020080200802008030080300803008030080200C0200803008030080200C02008020080200C0200C0200C0200C0200803008020080200802008020080200802008020080200802008020080200802008020080200802008020080"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .mem_init2 = "200803008020080200C02008030080200802008020080200802008020080200802008030080200C020080300803008030080300803008030080200802008020080300802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200C020080200802008020080200802008020080200802008020080200802008020080200802008020080200803008020080200802008020080300802008020080300802008020080200C03008030080200802008020080200802008020080200802008020080200802008020080200C02008020080200802008030080200C02008020080200802008020080200802008020"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .mem_init1 = "08020080200C020080300803008020080200C0200C02008030080200C02008030080200802008020080200C020080200802008020080200802008020080200C0300C0200C0200C03008020080200C02008030080200C0200803008020080200C0200803008030080200C0200C020080300C0200C03008020080200802008020080300802008020080200802008030080300803008020080200C030080300802008020080200C020080200802008020080300803008020080200C020080200802008030080200C02008020080200802008020080200802008020080300C02008020080200803008030080300803008030080200C0200C0200C0200C0200803008"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 .mem_init0 = "02008030080200C0200C0200803008030080200C0200C0200803008030080200C0200C02008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200C020080200C020080300802008020080200C02008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802008020080200802"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .lut_mask = 64'hFFCC0000EECC0000; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~68 ( +// Location: LABCELL_X37_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 ( // Equation(s): -// \neorv32_top_inst|rdata_v~68_combout = ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1])) ) ) ) # ( -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1])) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ) +// # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] +// & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] +// & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [14]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]) # +// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), - .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~68_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~68 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~68 .lut_mask = 64'h8080808080800000; -defparam \neorv32_top_inst|rdata_v~68 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .lut_mask = 64'h5500D540DD44FD54; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N57 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~69 ( +// Location: LABCELL_X36_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 ( // Equation(s): -// \neorv32_top_inst|rdata_v~69_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( \neorv32_top_inst|rdata_v~68_combout & ( -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout )) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( -// \neorv32_top_inst|rdata_v~68_combout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout -// ) ) ) ) # ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( !\neorv32_top_inst|rdata_v~68_combout ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( !\neorv32_top_inst|rdata_v~68_combout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & +// ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ) # +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout +// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ), - .dataf(!\neorv32_top_inst|rdata_v~68_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~69_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~69 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~69 .lut_mask = 64'hFFFFFFFF000F555F; -defparam \neorv32_top_inst|rdata_v~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .lut_mask = 64'h0022333300323333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 ( +// Location: LABCELL_X36_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~69_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~47_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~28_combout )) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~69_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ) # (\neorv32_top_inst|rdata_v~37_combout ) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~69_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~47_combout ))) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~28_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~69_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & \neorv32_top_inst|rdata_v~37_combout ) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout +// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout & ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datab(!\neorv32_top_inst|rdata_v~37_combout ), - .datac(!\neorv32_top_inst|rdata_v~28_combout ), - .datad(!\neorv32_top_inst|rdata_v~47_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|rdata_v~69_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .lut_mask = 64'h111105AFBBBB05AF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y12_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux60~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .lut_mask = 64'h0000773300007777; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 ( +// Location: LABCELL_X36_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout )) ) ) +// ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout )) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .lut_mask = 64'h000A00FF0000000A; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 ( +// Location: LABCELL_X37_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout )) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~113_sumout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & +// ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) ) +// # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & ( +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) ) # +// ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & ( +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) ) # +// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & ( +// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .lut_mask = 64'h330F330F33553355; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .lut_mask = 64'h7F5F7F7F7F7F7F7F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE ( +// Location: FF_X37_Y17_N32 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 ( +// Location: FF_X48_Y21_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout +// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd +// [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7])))) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .lut_mask = 64'h4545454575757575; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .lut_mask = 64'h5400540054000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 ( +// Location: LABCELL_X48_Y21_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1]~DUPLICATE_q ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .lut_mask = 64'hFFFFFFFFFFFF0537; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 ( +// Location: FF_X48_Y21_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~113_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[33]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .lut_mask = 64'h085D085D2A7F2A7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .lut_mask = 64'h0AFF0AF00A0F0A00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 ( +// Location: LABCELL_X63_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q -// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q -// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~44_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[1]~45_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .lut_mask = 64'h003305370033AFBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .lut_mask = 64'h0F330F330F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 ( +// Location: LABCELL_X62_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [1]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .lut_mask = 64'h0504AF8C37C9BF63; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 ( +// Location: MLABCELL_X59_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .lut_mask = 64'h500050C0DC0CDCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .lut_mask = 64'h048C048C37BF37BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 ( +// Location: MLABCELL_X59_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]))))) ) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~2_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .lut_mask = 64'h10131C1FD0D3DCDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux30~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .lut_mask = 64'h000533370A0F3B3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 ( +// Location: MLABCELL_X59_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .lut_mask = 64'h2727270027D8FF27; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 ( +// Location: MLABCELL_X59_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .lut_mask = 64'hF0F0B0F070303030; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 ( +// Location: LABCELL_X57_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .lut_mask = 64'h8080000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .lut_mask = 64'hF0F0F0F000F080F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 ( +// Location: LABCELL_X64_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 ( +// Location: LABCELL_X64_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 ( +// Location: LABCELL_X64_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .lut_mask = 64'h0000000000000010; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 ( +// Location: LABCELL_X64_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~102 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .lut_mask = 64'h00CCFF33004C7F33; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 ( +// Location: LABCELL_X64_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~62 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .lut_mask = 64'h00CC00CC30FC30FC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y10_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2 ( +// Location: LABCELL_X64_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2 .lut_mask = 64'h0F0F0F0F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y9_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~28_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 ( +// Location: LABCELL_X64_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~114 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~70 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .lut_mask = 64'h0000FFFF0000FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 ( +// Location: LABCELL_X64_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~105_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .lut_mask = 64'h0A4E0A4E1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~26_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 ( +// Location: LABCELL_X64_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~114 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~78 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 ( +// Location: LABCELL_X64_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [34]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~105_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .lut_mask = 64'h303F303F505F505F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X77_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 ( +// Location: LABCELL_X64_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~86 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .lut_mask = 64'h00000C0CFFFF3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 ( +// Location: LABCELL_X64_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~41_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[2]~40_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .lut_mask = 64'h000F444F111F555F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 ( +// Location: LABCELL_X64_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .lut_mask = 64'hF0F020F0D0F000F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .lut_mask = 64'h0000FFFF0000AAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 ( +// Location: LABCELL_X64_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .lut_mask = 64'hAAAA0A0AAAAA8A0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux29~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 ( +// Location: LABCELL_X64_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~106 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~46 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~110 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .lut_mask = 64'h0000FFFF0000F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 ( +// Location: LABCELL_X64_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~109_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [2]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .lut_mask = 64'h04150415AEBFAEBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X72_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~27_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 ( +// Location: LABCELL_X64_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .lut_mask = 64'h0000FFFF0A0A5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 ( +// Location: LABCELL_X64_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .datac(gnd), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~106 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~58 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 ( +// Location: LABCELL_X64_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [3]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [35]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~109_sumout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .lut_mask = 64'h272700002727FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 ( +// Location: LABCELL_X64_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~42_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[3]~43_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .lut_mask = 64'h010101FF515151FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X78_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 ( +// Location: LABCELL_X64_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q -// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q -// ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .lut_mask = 64'h0032FA325A6BAF6B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 ( +// Location: LABCELL_X64_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~3_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .lut_mask = 64'hAAAA8AAA00AA80AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 ( +// Location: LABCELL_X64_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~4_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .lut_mask = 64'hCCCCCCCC4444C444; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux28~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 ( +// Location: LABCELL_X64_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata -// [8])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .lut_mask = 64'h11111111F1A1F1A1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .lut_mask = 64'h0000FFFF0000CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder ( +// Location: LABCELL_X64_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux77~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y7_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[8] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X63_Y6_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 ( +// Location: LABCELL_X64_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 = CARRY(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 )) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~62 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~118 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y6_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 ( +// Location: LABCELL_X61_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~69_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE ( +// Location: FF_X61_Y17_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 ( +// Location: LABCELL_X61_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~73_sumout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] ( +// Location: FF_X61_Y17_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~18_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 ( +// Location: MLABCELL_X65_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~77_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .lut_mask = 64'h30303A3A35353F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE ( +// Location: FF_X65_Y17_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 ( +// Location: MLABCELL_X65_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout )) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~81_sumout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .lut_mask = 64'h00000F55FFFF0F55; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] ( +// Location: FF_X65_Y17_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~20_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 ( +// Location: LABCELL_X64_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ))))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~85_sumout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE ( +// Location: FF_X64_Y17_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[14]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 ( +// Location: LABCELL_X63_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~33_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .lut_mask = 64'h0A4E0A4E1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] ( +// Location: FF_X63_Y15_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~8_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 ( +// Location: LABCELL_X64_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~37_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .lut_mask = 64'h02CE02CE13DF13DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE ( +// Location: FF_X64_Y17_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 ( +// Location: LABCELL_X64_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~41_sumout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] ( +// Location: FF_X64_Y17_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~10_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] ( +// Location: LABCELL_X64_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~45_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y17_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~11_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 ( +// Location: LABCELL_X64_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~49_sumout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .lut_mask = 64'h0000000200EC00EE; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] ( +// Location: FF_X64_Y17_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 ( +// Location: LABCELL_X64_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth -// [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~53_sumout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .lut_mask = 64'h0000000200000013; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 ( +// Location: FF_X64_Y14_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X64_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ) -// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~57_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .lut_mask = 64'hEE00E00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] ( +// Location: FF_X64_Y14_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~14_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 ( +// Location: LABCELL_X63_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .lut_mask = 64'hF3F7F3F7F3F7FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .lut_mask = 64'h04AE04AE15BF15BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] ( +// Location: FF_X63_Y15_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 ( +// Location: LABCELL_X63_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~9_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .lut_mask = 64'h11FA11FA11111111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .lut_mask = 64'h04150415AEBFAEBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 ( +// Location: FF_X63_Y15_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X63_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .lut_mask = 64'hFFF0FFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .lut_mask = 64'h0A4E0A4E1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y10_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] ( +// Location: FF_X63_Y15_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~3_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 ( +// Location: LABCELL_X64_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .lut_mask = 64'h0033003300330033; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y12_N29 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] ( +// Location: FF_X64_Y14_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 ( +// Location: LABCELL_X64_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout = (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~21_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .lut_mask = 64'h0303030303030303; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y12_N25 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] ( +// Location: FF_X64_Y14_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 ( +// Location: LABCELL_X64_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1] -// & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~25_sumout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .lut_mask = 64'h0F0F5F5F00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y12_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] ( +// Location: FF_X64_Y14_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~6_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 ( +// Location: LABCELL_X64_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~29_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .lut_mask = 64'h000F000F333F333F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] ( +// Location: FF_X64_Y14_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 ( +// Location: LABCELL_X64_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~117_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .lut_mask = 64'h000F000F555F555F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y8_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] ( +// Location: FF_X64_Y14_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 ( +// Location: LABCELL_X64_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf -// [5]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~121_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .lut_mask = 64'h00000000050F050F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .lut_mask = 64'h01230123CDEFCDEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] ( +// Location: FF_X64_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~30_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[30] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 ( +// Location: LABCELL_X64_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3] -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout = SUM(( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~122 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .lut_mask = 64'hF000F00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .lut_mask = 64'h0000FFFF0000FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3 ( +// Location: LABCELL_X64_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~125_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3 .lut_mask = 64'hCC00CC00EE00EE00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .lut_mask = 64'h0030CCFC0033CCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] ( +// Location: FF_X64_Y17_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~64_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~31_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[2] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X60_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval -// [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [2]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), - .sumout(), - .cout(), - .shareout()); + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .lut_mask = 64'h0010051000150515; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0 ( +// Location: LABCELL_X63_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[0]~1_sumout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0 .lut_mask = 64'h000000003FFF3FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]))) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X63_Y17_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1 .lut_mask = 64'h0008000800000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2 ( +// Location: LABCELL_X63_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]))) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[1]~113_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2 .lut_mask = 64'h0000000010001000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir ( +// Location: FF_X63_Y17_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[0]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 ( +// Location: LABCELL_X63_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [2]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[2]~105_sumout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .lut_mask = 64'h0000001000000015; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle -// [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X63_Y17_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [1]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [2]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .lut_mask = 64'h000001EF000000CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 ( +// Location: LABCELL_X63_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[3]~109_sumout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~1_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .lut_mask = 64'hC800C80000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 ( +// Location: FF_X63_Y17_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[2]~DUPLICATE_q ), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X63_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[4]~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .lut_mask = 64'hFF00FF00FF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] ( +// Location: FF_X63_Y17_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2]~10_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 ( +// Location: LABCELL_X63_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [2]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[5]~93_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .lut_mask = 64'hFFFF3F7FFFFF3377; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] ( +// Location: FF_X63_Y17_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector114~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 ( +// Location: LABCELL_X64_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[6]~97_sumout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .lut_mask = 64'h000F554EFFCC554E; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux83~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] ( +// Location: FF_X64_Y17_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 ( +// Location: LABCELL_X63_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[7]~101_sumout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~106 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE ( +// Location: FF_X63_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 ( +// Location: LABCELL_X63_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout = +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[8]~61_sumout ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] ( +// Location: FF_X63_Y17_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 ( +// Location: LABCELL_X62_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ))))) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~90 ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .lut_mask = 64'h10BF10BF10BF10BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X62_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X62_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout )))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72 .lut_mask = 64'h1D1D1D1D0C3F0C3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE ( +// Location: FF_X64_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~72_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .lut_mask = 64'h00000F0F00440F4F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 ( +// Location: LABCELL_X62_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .lut_mask = 64'hCF00CF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .lut_mask = 64'h00325A6BC8FA9EAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 ( +// Location: LABCELL_X45_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .lut_mask = 64'hC0C0C0C0CCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (\neorv32_top_inst|rdata_v~32_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & +// (((\neorv32_top_inst|rdata_v~32_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~34_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) +// ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datab(!\neorv32_top_inst|rdata_v~34_combout ), + .datac(!\neorv32_top_inst|rdata_v~32_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .lut_mask = 64'h0A1B0A1B5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] ( +// Location: FF_X47_Y12_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 ( +// Location: LABCELL_X56_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .lut_mask = 64'h0CFA0CFA0C0A0C0A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [8]), - .prn(vcc)); +// Location: LABCELL_X62_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .lut_mask = 64'hAAAA0000A0A00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 ( +// Location: LABCELL_X56_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .lut_mask = 64'hFF00FF0003005700; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] ( +// Location: FF_X63_Y17_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 ( +// Location: LABCELL_X63_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .lut_mask = 64'h01450145ABEFABEF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] ( +// Location: FF_X63_Y17_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 ( +// Location: LABCELL_X63_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .lut_mask = 64'h505C505C535F535F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] ( +// Location: FF_X63_Y17_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 ( +// Location: LABCELL_X63_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .lut_mask = 64'h0A4E0A4E1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE ( +// Location: FF_X63_Y17_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 ( +// Location: LABCELL_X63_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .lut_mask = 64'h35303530353F353F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] ( +// Location: FF_X63_Y17_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 ( +// Location: LABCELL_X61_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~65_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] ( +// Location: FF_X61_Y17_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~16_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 ( +// Location: LABCELL_X61_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .lut_mask = 64'h05F505F500FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE ( +// Location: FF_X61_Y17_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 ( +// Location: LABCELL_X62_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~34 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X61_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout )))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [41]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60 .lut_mask = 64'h0F220F220F770F77; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 ( +// Location: LABCELL_X60_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~60_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .lut_mask = 64'h0005000033373333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .shared_arith = "off"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), +// Location: LABCELL_X60_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .lut_mask = 64'hB0B0B0B0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X56_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .lut_mask = 64'hAA00AA00A000A000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 ( +// Location: MLABCELL_X47_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (\neorv32_top_inst|rdata_v~44_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & +// (((\neorv32_top_inst|rdata_v~44_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~47_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) +// ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), - .datad(gnd), + .dataa(!\neorv32_top_inst|rdata_v~47_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datac(!\neorv32_top_inst|rdata_v~44_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .lut_mask = 64'h0C1D0C1D3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] ( +// Location: FF_X47_Y12_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y6_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 ( +// Location: LABCELL_X53_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .lut_mask = 64'h3B3B38380B0B0808; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), - .prn(vcc)); +// Location: LABCELL_X61_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b +// [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [25] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .lut_mask = 64'h030C5749FFC35749; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 ( +// Location: LABCELL_X56_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .lut_mask = 64'hA0A0A0F0B0B0B0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] ( +// Location: FF_X64_Y16_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 ( +// Location: LABCELL_X61_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~54 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .lut_mask = 64'h05F505F500FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE ( +// Location: FF_X61_Y17_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[21]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 ( +// Location: LABCELL_X62_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [10]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~58 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), - .prn(vcc)); +// Location: LABCELL_X60_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout )))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[42]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56 .lut_mask = 64'h0A4E0A4E1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 ( +// Location: LABCELL_X60_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~56_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y5_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [23]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .lut_mask = 64'h0005000033373333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 ( +// Location: MLABCELL_X59_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .lut_mask = 64'hAF00AF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE ( +// Location: FF_X53_Y20_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 ( +// Location: MLABCELL_X47_Y12_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 -// )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # +// (\neorv32_top_inst|rdata_v~36_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~36_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~38_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|rdata_v~36_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datac(!\neorv32_top_inst|rdata_v~38_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .lut_mask = 64'h4447444777777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE ( +// Location: FF_X47_Y12_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux51~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[25]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 ( +// Location: MLABCELL_X59_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [10])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .lut_mask = 64'h50EE50EE50445044; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [26]), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .lut_mask = 64'h0537CDFF0A2986A5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 ( +// Location: MLABCELL_X59_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .lut_mask = 64'hF0F00000C0C00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [27]), - .prn(vcc)); +// Location: MLABCELL_X59_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .lut_mask = 64'hCCCC0044CCCC0C4C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 ( +// Location: MLABCELL_X59_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [28]), - .prn(vcc)); +// Location: MLABCELL_X59_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 ( +// Location: MLABCELL_X59_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .lut_mask = 64'h8080000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[29]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 ( +// Location: MLABCELL_X59_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~118 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .lut_mask = 64'h0000000000000002; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [30]), - .prn(vcc)); +// Location: MLABCELL_X59_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .lut_mask = 64'h00FFCC33007F4C33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 ( +// Location: LABCELL_X60_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), - .datab(gnd), - .datac(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .lut_mask = 64'h0000F0F00C0CFCFC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] ( +// Location: FF_X60_Y19_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y5_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 ( +// Location: LABCELL_X62_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~126 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .lut_mask = 64'h0000FFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .lut_mask = 64'h000A000AF5FFF5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 ( +// Location: LABCELL_X62_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~129_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .lut_mask = 64'h00000000C0C0C0C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] ( +// Location: FF_X65_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|mcycle_ovfl~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle_ovfl[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y6_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] ( +// Location: LABCELL_X62_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout )))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [43]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[11]~DUPLICATE_q ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y16_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 ( +// Location: LABCELL_X62_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~76_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .lut_mask = 64'h050505050505FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .lut_mask = 64'h000000FF040404FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 ( +// Location: LABCELL_X62_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .lut_mask = 64'h0100010001FF01FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .lut_mask = 64'hCFCF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 ( +// Location: LABCELL_X60_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0] ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~1_combout ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .lut_mask = 64'h00FF00FF55555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y9_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0]~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .lut_mask = 64'h227720702D78F2F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 ( +// Location: LABCELL_X60_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf -// [7])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .lut_mask = 64'hF000F00070007000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .lut_mask = 64'hA0A0A0A0A0A00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 ( +// Location: LABCELL_X46_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf -// [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (\neorv32_top_inst|rdata_v~40_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & +// (((\neorv32_top_inst|rdata_v~40_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~42_combout )))) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|rdata_v~40_combout ), + .datad(!\neorv32_top_inst|rdata_v~42_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .lut_mask = 64'hDD5DDD5D00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .lut_mask = 64'h0A1B0A1B5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] ( +// Location: FF_X46_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~5_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -49770,310 +60832,286 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cau .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[0] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y9_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] ( +// Location: FF_X51_Y21_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~8_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector105~4_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 ( +// Location: MLABCELL_X52_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[11]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .lut_mask = 64'h0000000001510151; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .lut_mask = 64'h3333B8B80000B8B8; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 ( +// Location: LABCELL_X60_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( -// !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0] ) + ( !VCC -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .lut_mask = 64'h0000CCCC00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .lut_mask = 64'hFF030000FF570000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y6_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] ( +// Location: FF_X64_Y16_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0 ( +// Location: MLABCELL_X65_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12] ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0 .lut_mask = 64'h0000000003000300; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .lut_mask = 64'h3333535333335353; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30])) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X61_Y15_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0 .lut_mask = 64'h0000000000030003; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 ( +// Location: LABCELL_X62_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [12]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .lut_mask = 64'h1111111131313131; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 ( +// Location: LABCELL_X63_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout )))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~2_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .lut_mask = 64'hFAC8FAC800000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y8_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68 .lut_mask = 64'h1B0A1B0A1B5F1B5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 ( +// Location: LABCELL_X60_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12])) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~2_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_cy~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~68_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), .sumout(), .cout(), .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0 .shared_arith = "off"; +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .lut_mask = 64'h0000040400FF04FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5 ( +// Location: LABCELL_X60_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout -// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5 .lut_mask = 64'hFF5FFF7FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .lut_mask = 64'hAA2AAA2AAA2AAA2A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y7_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] ( +// Location: FF_X51_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector116~5_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector104~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -50082,948 +61120,923 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[0] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .lut_mask = 64'h330F775F330F4450; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder ( +// Location: LABCELL_X46_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (\neorv32_top_inst|rdata_v~61_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & +// (((\neorv32_top_inst|rdata_v~61_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~63_combout )))) +// ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|rdata_v~61_combout ), + .datad(!\neorv32_top_inst|rdata_v~63_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux85~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .lut_mask = 64'h0A1B0A1B5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] ( +// Location: FF_X46_Y14_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[0] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y6_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 ( +// Location: LABCELL_X53_Y21_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), - .datab(gnd), - .datac(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .lut_mask = 64'h0C0C0C0C3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] ( +// Location: FF_X53_Y18_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 ( +// Location: LABCELL_X53_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE_q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[12]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y6_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .lut_mask = 64'h44F544F544A044A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 ( +// Location: LABCELL_X60_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~106 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .lut_mask = 64'hAA00AA00AA000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [3]), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .lut_mask = 64'h0054FC543C79F379; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 ( +// Location: LABCELL_X60_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .lut_mask = 64'hCC00CC44CC0CCC4C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE ( +// Location: FF_X47_Y18_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 ( +// Location: MLABCELL_X47_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE ( +// Location: FF_X47_Y15_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [5]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[5]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~94 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y6_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] ( +// Location: FF_X42_Y11_N35 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add1~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[4]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 ( +// Location: LABCELL_X42_Y11_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt +// [10] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [2]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [3]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [9]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [10]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .lut_mask = 64'hC0C0000000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), - .prn(vcc)); +// Location: LABCELL_X42_Y11_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [7]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [11]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.baud_cnt [8]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .lut_mask = 64'h0000000040004000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 ( +// Location: LABCELL_X36_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout )) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .lut_mask = 64'h00000F5500000F00; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] ( +// Location: FF_X36_Y12_N49 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0]~_wirecell_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [8]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 ( +// Location: LABCELL_X37_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] ) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0] ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), - .datae(gnd), - .dataf(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .lut_mask = 64'hFFFFFFFFCCCC3333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] ( +// Location: FF_X37_Y13_N37 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector7~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [9]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 ( +// Location: LABCELL_X36_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~2_combout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .lut_mask = 64'hF0F0F0F0FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] ( +// Location: LABCELL_X36_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & +// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt +// [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout & +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( +// (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0])) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout & +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .lut_mask = 64'h0800F7FF0800070F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X36_Y13_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector6~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 ( +// Location: LABCELL_X36_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 -// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) # +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] $ (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt +// [0])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .lut_mask = 64'hF8F7F8F7F0FFF0FF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE ( +// Location: FF_X36_Y13_N44 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector5~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[11]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 ( +// Location: LABCELL_X36_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 -// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .lut_mask = 64'h000000000000FFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE ( +// Location: FF_X36_Y13_N4 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~7_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 ( +// Location: LABCELL_X36_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 -// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q & ( (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt +// [0]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_CHECK~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .lut_mask = 64'h00007FFFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE ( +// Location: FF_X36_Y13_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector3~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 ( +// Location: LABCELL_X36_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 -// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2] & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3] & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [3]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [1]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [0]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt [2]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .lut_mask = 64'h4000400000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE ( +// Location: FF_X36_Y13_N28 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~8_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 ( +// Location: LABCELL_X36_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 -// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.done~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .lut_mask = 64'h00000000FF00FF00; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE ( +// Location: FF_X36_Y13_N25 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.set~combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 ( +// Location: MLABCELL_X52_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .lut_mask = 64'hFFAFFFAFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE ( +// Location: FF_X52_Y19_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~80_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 ( +// Location: LABCELL_X42_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] ( +// Location: FF_X42_Y19_N16 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 ( +// Location: LABCELL_X42_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0] ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [3]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_irq.buf [1]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .lut_mask = 64'h33333F3F00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] ( +// Location: FF_X42_Y19_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y6_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 ( +// Location: MLABCELL_X52_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]))) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [19]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .lut_mask = 64'h111F111F111F111F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE ( +// Location: FF_X46_Y22_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~49_sumout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), @@ -51031,953 +62044,896 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[ .aload(gnd), .sclr(gnd), .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y5_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 -// )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~50 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[19] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y5_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE ( +// Location: FF_X46_Y20_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 ( +// Location: LABCELL_X50_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE_q ), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [19]), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~54 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y5_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[21]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .lut_mask = 64'h0055005533773377; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 ( +// Location: MLABCELL_X52_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd +// [6]))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE_q ), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal256~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .lut_mask = 64'hC8C8000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE ( +// Location: FF_X52_Y19_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 ( +// Location: MLABCELL_X52_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]))) ) ) +// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]))) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ) +// # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]))) ) +// ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .lut_mask = 64'hFFCDFFCDFFCDFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] ( +// Location: FF_X52_Y19_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector97~4_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [23]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 ( +// Location: LABCELL_X53_Y20_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata +// [19] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .lut_mask = 64'h00550055F0C5F0C5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE ( +// Location: FF_X52_Y19_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux66~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 ( +// Location: MLABCELL_X52_Y19_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6] +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [3]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] ( +// Location: FF_X52_Y19_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 ( +// Location: LABCELL_X46_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y5_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[26]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 ( +// Location: LABCELL_X46_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf +// [5]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .lut_mask = 64'h0000000015151515; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE ( +// Location: FF_X46_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~1_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[27]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 ( +// Location: LABCELL_X45_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .lut_mask = 64'hDFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y21_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] ( +// Location: FF_X55_Y21_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [28]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 ( +// Location: LABCELL_X55_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last +// [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .lut_mask = 64'h808C808CB3BFB3BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE ( +// Location: FF_X55_Y21_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[29]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 ( +// Location: LABCELL_X51_Y22_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(gnd), - .datae(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~118 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .lut_mask = 64'h0505F5F50505F5F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE ( +// Location: FF_X53_Y18_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [30]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[30]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 ( +// Location: LABCELL_X57_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), .datad(gnd), - .datae(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .lut_mask = 64'hF5F5A0A0F5F5A0A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] ( +// Location: FF_X57_Y17_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [31]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~21_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y5_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 ( +// Location: LABCELL_X55_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~126 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .lut_mask = 64'h0000FFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X61_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~129_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcountinhibit_ir~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .lut_mask = 64'h00000F0F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] ( +// Location: FF_X52_Y19_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|minstret_ovfl~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret_ovfl[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), - .prn(vcc)); +// Location: MLABCELL_X52_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [18] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE ( +// Location: FF_X55_Y19_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector49~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 ( +// Location: MLABCELL_X59_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [18] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~7_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [1]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .lut_mask = 64'hCC88CC88C080C080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .lut_mask = 64'hCFCFCFCFC0C0C0C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 ( +// Location: FF_X59_Y15_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y21_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .lut_mask = 64'h4700470047FF47FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .lut_mask = 64'hF0F0F0F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] ( +// Location: FF_X55_Y21_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~71_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18]~9_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 ( +// Location: LABCELL_X55_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout +// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .lut_mask = 64'h000000000505AFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .lut_mask = 64'hC4C40404F7F73737; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X55_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] ( +// Location: FF_X57_Y15_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~70_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 ( +// Location: LABCELL_X56_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .lut_mask = 64'h0000000000000A0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y8_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .lut_mask = 64'h80808A8AD5D5DFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] ( +// Location: FF_X56_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~33_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y6_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] ( +// Location: FF_X53_Y20_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [1]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[18] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 ( +// Location: LABCELL_X53_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [18]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .lut_mask = 64'h000033330F0F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .lut_mask = 64'h000055550F0F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 ( +// Location: LABCELL_X42_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ) # -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout -// )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout )) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl +// [28] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .lut_mask = 64'hAFFFBFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .lut_mask = 64'h0000000033333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y8_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] ( +// Location: FF_X42_Y19_N47 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector115~4_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -51986,196 +62942,105 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[1] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .lut_mask = 64'h03035754F3F3F704; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 ( +// Location: LABCELL_X42_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [1])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [1])) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux84~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .lut_mask = 64'h353500003535FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .lut_mask = 64'h0000000033333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y8_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] ( +// Location: FF_X42_Y19_N43 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~72_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[1] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc -// [1]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .lut_mask = 64'h0033AA330F3F0F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y10_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] ( +// Location: FF_X42_Y19_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq~1_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder ( +// Location: MLABCELL_X52_Y19_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y10_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .lut_mask = 64'hFFAFFFAFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE ( +// Location: FF_X52_Y19_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~79_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -52184,50 +63049,44 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine. .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 ( +// Location: MLABCELL_X52_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2] & ( ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1] & +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1] & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE_q ) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_irq.buf[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mip_firq_nclr [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .lut_mask = 64'h0F000FFF0F000FBB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .lut_mask = 64'h0C0C0C0C0CFF0CFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y12_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned ( +// Location: FF_X52_Y19_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_pnd~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -52236,133 +63095,149 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine. .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y13_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[16] ( +// Location: FF_X47_Y21_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 ( +// Location: MLABCELL_X52_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18] ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [18]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [18]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .lut_mask = 64'h03FF03FF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .lut_mask = 64'h000055550F0F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]), - .prn(vcc)); +// Location: MLABCELL_X52_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 ( +// Location: MLABCELL_X52_Y19_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout )) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal80~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .lut_mask = 64'hF000F00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .lut_mask = 64'hF000A000C0008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 ( +// Location: MLABCELL_X52_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout )) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout +// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .lut_mask = 64'h1110111010101010; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .lut_mask = 64'hFFFFFFFF11FF1FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] ( +// Location: FF_X52_Y19_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector98~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -52371,247 +63246,207 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cau .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[18] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 ( +// Location: LABCELL_X53_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .lut_mask = 64'h028A028A57DF57DF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .lut_mask = 64'h000F000FAA8DAA8D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] ( +// Location: FF_X52_Y19_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~56_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux67~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X56_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4])) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .lut_mask = 64'h000A000A050F050F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[2] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 ( +// Location: MLABCELL_X52_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5] +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y9_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] ( +// Location: FF_X52_Y19_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~55_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~9_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 ( +// Location: LABCELL_X46_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcause [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .lut_mask = 64'h0000000005000500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .lut_mask = 64'h5500550040004000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] ( +// Location: FF_X46_Y18_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~3_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 ( +// Location: LABCELL_X42_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .lut_mask = 64'h0000555501004500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y8_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux81~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .lut_mask = 64'h04048C8C3737BFBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] ( +// Location: FF_X42_Y18_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~50_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[13] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] ( +// Location: FF_X50_Y22_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -52619,3841 +63454,3934 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), - .sumout(), - .cout(), - .shareout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .lut_mask = 64'h0001000100000003; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 ( +// Location: MLABCELL_X47_Y22_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[0]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [13]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .lut_mask = 64'hAF008C0000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .lut_mask = 64'h000033330F0F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5 ( +// Location: LABCELL_X46_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout & -// ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]) ) -// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5 .lut_mask = 64'hFFFFFFFF3F3F7F7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] ( +// Location: FF_X46_Y17_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector112~5_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 ( +// Location: LABCELL_X42_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout = ( \neorv32_top_inst|rdata_v~43_combout & ( \neorv32_top_inst|rdata_v~61_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~15_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~34_combout )))) ) ) -// ) # ( !\neorv32_top_inst|rdata_v~43_combout & ( \neorv32_top_inst|rdata_v~61_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout -// )) # (\neorv32_top_inst|rdata_v~15_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (((\neorv32_top_inst|rdata_v~34_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout )))) -// ) ) ) # ( \neorv32_top_inst|rdata_v~43_combout & ( !\neorv32_top_inst|rdata_v~61_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~15_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ) # -// (\neorv32_top_inst|rdata_v~34_combout )))) ) ) ) # ( !\neorv32_top_inst|rdata_v~43_combout & ( !\neorv32_top_inst|rdata_v~61_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~15_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~34_combout ))))) ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ) ) ) +// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datab(!\neorv32_top_inst|rdata_v~15_combout ), - .datac(!\neorv32_top_inst|rdata_v~34_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .datae(!\neorv32_top_inst|rdata_v~43_combout ), - .dataf(!\neorv32_top_inst|rdata_v~61_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .lut_mask = 64'h00275527AA27FF27; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .lut_mask = 64'h505030305F5F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] ( +// Location: FF_X42_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux57~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~51_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~13_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X66_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .lut_mask = 64'h55E455E400E400E4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X70_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q -// ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .lut_mask = 64'h050436CDF5C4C6FD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y22_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .lut_mask = 64'h00000A0AF5F5FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[13] .power_up = "low"; // synopsys translate_on -// Location: FF_X72_Y9_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE ( +// Location: FF_X46_Y20_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~DUPLICATE_q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 ( +// Location: MLABCELL_X47_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [13]), + .datad(gnd), .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~110 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X74_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [36]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[4]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~89_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .lut_mask = 64'h0F000FFF330033FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .lut_mask = 64'h0303030357575757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ) ) ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~32_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[4]~33_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y22_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .lut_mask = 64'h0033053750735577; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 ( +// Location: LABCELL_X48_Y22_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout +// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .lut_mask = 64'hCCCC44C4CC4C4444; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .lut_mask = 64'hC800C800C8000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y22_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux72~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .lut_mask = 64'hF0F000F0F0F080F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux27~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 ( +// Location: LABCELL_X48_Y22_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ) +// # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout )) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~89_sumout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [3]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .lut_mask = 64'h0505000FF5F5F0FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .lut_mask = 64'hCDCDCDCDCDFFCDFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] ( +// Location: FF_X48_Y22_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~22_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector103~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 ( +// Location: LABCELL_X45_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout = ( \neorv32_top_inst|rdata_v~28_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # (((\neorv32_top_inst|rdata_v~30_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout )) ) ) # ( !\neorv32_top_inst|rdata_v~28_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~30_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [4]), + .dataa(!\neorv32_top_inst|rdata_v~30_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~93_sumout ), + .dataf(!\neorv32_top_inst|rdata_v~28_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .lut_mask = 64'h02CE02CE13DF13DF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .lut_mask = 64'h01330133CDFFCDFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE ( +// Location: FF_X45_Y13_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X77_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 ( +// Location: LABCELL_X56_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .lut_mask = 64'h10101010DFDFDFDF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .lut_mask = 64'h5F555A500F050A00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y11_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] ( +// Location: FF_X64_Y16_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 ( +// Location: LABCELL_X61_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .lut_mask = 64'h505550555F555F55; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 ( +// Location: LABCELL_X62_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) ) + ( GND ) +// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[37]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~93_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .lut_mask = 64'h082A082A5D7F5D7F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 ( +// Location: LABCELL_X62_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~34_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[5]~35_combout ), - .datag(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout )))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .lut_mask = 64'h000533370F053F37; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64 .lut_mask = 64'h0F440F440F770F77; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 ( +// Location: LABCELL_X56_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [5]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~64_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .lut_mask = 64'h0504AF8C37C9BF63; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .lut_mask = 64'hAA00A00088008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 ( +// Location: LABCELL_X57_Y12_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) -// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .lut_mask = 64'hF0F0F07030B03030; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .lut_mask = 64'h00543C79FC54F379; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 ( +// Location: LABCELL_X56_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~53_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~55_combout ))) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( \neorv32_top_inst|rdata_v~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ) # (\neorv32_top_inst|rdata_v~45_combout ) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & (\neorv32_top_inst|rdata_v~53_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & ((\neorv32_top_inst|rdata_v~55_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout & ( !\neorv32_top_inst|rdata_v~1_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout & \neorv32_top_inst|rdata_v~45_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~0_combout ), - .datab(!\neorv32_top_inst|rdata_v~53_combout ), - .datac(!\neorv32_top_inst|rdata_v~55_combout ), - .datad(!\neorv32_top_inst|rdata_v~45_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|rdata_v~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .lut_mask = 64'h00552727AAFF2727; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .lut_mask = 64'hAA00AA22AA0AAA2A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] ( +// Location: FF_X64_Y16_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux56~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 ( +// Location: MLABCELL_X59_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .lut_mask = 64'h30BB308830BB3088; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 ( +// Location: FF_X59_Y13_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~24_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X64_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~4_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[5]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .lut_mask = 64'hFF0F0000FF8F0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux26~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y16_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~25_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 ( +// Location: LABCELL_X64_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .lut_mask = 64'h11111111FAFA1111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y6_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] ( +// Location: FF_X64_Y16_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] ( +// Location: LABCELL_X64_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y16_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 ( +// Location: LABCELL_X64_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10])) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .lut_mask = 64'h0000000100000031; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .lut_mask = 64'h1B1B1B1B1B1B1B1B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X64_Y16_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .lut_mask = 64'h0054005700440044; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 ( +// Location: LABCELL_X64_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout -// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .lut_mask = 64'h0505FFFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 ( +// Location: FF_X64_Y16_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X64_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .lut_mask = 64'h22220A0A77775F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .lut_mask = 64'h2222222277777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y8_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] ( +// Location: FF_X64_Y16_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~60_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y7_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), - .prn(vcc)); +// Location: LABCELL_X64_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y8_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] ( +// Location: FF_X64_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 ( +// Location: LABCELL_X64_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux79~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .lut_mask = 64'h1D1D00001D1DFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .lut_mask = 64'h2222222277777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] ( +// Location: FF_X64_Y16_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~61_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 ( +// Location: LABCELL_X64_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .lut_mask = 64'h555F555F777F777F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .lut_mask = 64'h4444444477777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] ( +// Location: FF_X64_Y16_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector110~3_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 ( +// Location: LABCELL_X64_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [6]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .lut_mask = 64'h3B3B38380B0B0808; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .lut_mask = 64'h0C0C0C0C3F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 ( +// Location: FF_X64_Y16_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X64_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .lut_mask = 64'h0F550F550F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~94 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), - .shareout()); +// Location: FF_X64_Y16_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 ( +// Location: LABCELL_X64_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38])))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [38]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~97_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .lut_mask = 64'h3500350035FF35FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~36_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~37_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X64_Y16_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .lut_mask = 64'h00110F1F33113F1F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 ( +// Location: LABCELL_X64_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q -// ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .lut_mask = 64'h03565701F3A6F751; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) -// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~3_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X64_Y16_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .lut_mask = 64'hFF00F7003B003300; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 ( +// Location: LABCELL_X64_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .lut_mask = 64'hFF00FF005500D500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux25~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X64_Y16_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .lut_mask = 64'h11111111FAFA1111; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 ( +// Location: LABCELL_X64_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout )))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .lut_mask = 64'h0AEE0A4E1BFF1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE ( +// Location: FF_X64_Y16_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~86_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), - .prn(vcc)); +// Location: LABCELL_X64_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE ( +// Location: FF_X64_Y16_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y6_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 ( +// Location: LABCELL_X64_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE_q & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[7]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .lut_mask = 64'h000000010000FFC1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .lut_mask = 64'h2222222277777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 ( +// Location: FF_X64_Y16_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X64_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal262~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal253~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .lut_mask = 64'h11111111111F111F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 ( +// Location: FF_X64_Y16_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X63_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14] ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .lut_mask = 64'h00003333CCCCFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .lut_mask = 64'h5555555535353535; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y12_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] ( +// Location: FF_X64_Y17_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux2~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~21_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y14_N28 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] ( +// Location: LABCELL_X62_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[14]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X63_Y15_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X75_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 ( +// Location: LABCELL_X63_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout )))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .lut_mask = 64'h4444444477777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y12_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux3~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), - .prn(vcc)); +// Location: LABCELL_X55_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .lut_mask = 64'hAA008800A0008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N38 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] ( +// Location: FF_X53_Y20_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector102~3_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 ( +// Location: LABCELL_X45_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( \neorv32_top_inst|rdata_v~23_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( \neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # ((\neorv32_top_inst|rdata_v~25_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( !\neorv32_top_inst|rdata_v~23_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & ( !\neorv32_top_inst|rdata_v~23_combout & ( (\neorv32_top_inst|rdata_v~25_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .dataa(!\neorv32_top_inst|rdata_v~25_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), + .dataf(!\neorv32_top_inst|rdata_v~23_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .lut_mask = 64'h01013333CDCDFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] ( +// Location: FF_X45_Y13_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux1~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y14_N40 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), - .prn(vcc)); +// Location: LABCELL_X55_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[14]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .lut_mask = 64'h7654765432103210; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N28 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE ( +// Location: FF_X53_Y15_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 ( +// Location: LABCELL_X60_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]) # -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [31] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30])) ) ) -// ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi -// [31] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]))))) ) ) ) # -// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[14]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .lut_mask = 64'h4400D400FF44FFD4; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .lut_mask = 64'h0504AF8C37C9BF63; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N10 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), - .prn(vcc)); +// Location: LABCELL_X56_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .lut_mask = 64'hAA00BB00AF00BF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder ( +// Location: LABCELL_X56_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[9]~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] ( +// Location: FF_X57_Y15_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y15_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] ( +// Location: FF_X43_Y18_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder ( +// Location: LABCELL_X42_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46 .lut_mask = 64'h00330033CCFFCCFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), +// Location: M10K_X49_Y18_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X49_Y22_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~16 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~16_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7~portbdataout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~16_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~16 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~16 .lut_mask = 64'h0033003355775577; +defparam \neorv32_top_inst|rdata_v~16 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .lut_mask = 64'h0000000083838383; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N52 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE ( +// Location: FF_X39_Y16_N52 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y14_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] ( +// Location: FF_X43_Y17_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y14_N44 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] ( +// Location: FF_X37_Y16_N1 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[15] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 ( +// Location: MLABCELL_X39_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])))) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [15]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [15]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .lut_mask = 64'h8241824182418241; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .lut_mask = 64'h0202070702020707; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N34 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] ( +// Location: FF_X39_Y14_N37 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~6_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[15] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 ( +// Location: LABCELL_X48_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~79 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])) ) ) ) +// \neorv32_top_inst|rdata_v~79_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 & ( +// (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15] & +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), + .combout(\neorv32_top_inst|rdata_v~79_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .lut_mask = 64'h2020101002020101; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y15_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X60_Y14_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~79 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~79 .lut_mask = 64'h8080000080000000; +defparam \neorv32_top_inst|rdata_v~79 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 ( +// Location: LABCELL_X46_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))) ) ) +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 & ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), + .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .lut_mask = 64'h8844884422112211; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y12_N4 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), +// Location: M10K_X38_Y17_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; // synopsys translate_on -// Location: FF_X63_Y15_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), +// Location: M10K_X58_Y14_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder ( +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~48 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13] ) +// \neorv32_top_inst|rdata_v~48_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y12_N16 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~48 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~48 .lut_mask = 64'h000F000F555F555F; +defparam \neorv32_top_inst|rdata_v~48 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder ( +// Location: LABCELL_X46_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout & ( \neorv32_top_inst|rdata_v~48_combout & ( ((!\neorv32_top_inst|rdata_v~79_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # (\neorv32_top_inst|rdata_v~16_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout & ( \neorv32_top_inst|rdata_v~48_combout & ( +// ((!\neorv32_top_inst|rdata_v~79_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # (\neorv32_top_inst|rdata_v~16_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout & ( +// !\neorv32_top_inst|rdata_v~48_combout & ( ((!\neorv32_top_inst|rdata_v~79_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) # (\neorv32_top_inst|rdata_v~16_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout & ( !\neorv32_top_inst|rdata_v~48_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (!\neorv32_top_inst|rdata_v~80_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|rdata_v~79_combout ) # (\neorv32_top_inst|rdata_v~16_combout )))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .dataa(!\neorv32_top_inst|rdata_v~80_combout ), + .datab(!\neorv32_top_inst|rdata_v~16_combout ), + .datac(!\neorv32_top_inst|rdata_v~79_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ), + .dataf(!\neorv32_top_inst|rdata_v~48_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y14_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[14] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X60_Y14_N4 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .lut_mask = 64'hAAF3FFF3FFF3FFF3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 ( +// Location: LABCELL_X46_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .lut_mask = 64'h8241000000008241; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .lut_mask = 64'h0000C00000C0C0C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 ( +// Location: LABCELL_X46_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [31] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [31] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [31] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout = ( \neorv32_top_inst|rdata_v~79_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((!\neorv32_top_inst|rdata_v~16_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~19_combout & (\neorv32_top_inst|rdata_v~18_combout ))) ) ) # ( !\neorv32_top_inst|rdata_v~79_combout & ( (\neorv32_top_inst|rdata_v~19_combout & +// (\neorv32_top_inst|rdata_v~18_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), + .dataa(!\neorv32_top_inst|rdata_v~19_combout ), + .datab(!\neorv32_top_inst|rdata_v~18_combout ), + .datac(!\neorv32_top_inst|rdata_v~16_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~79_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .lut_mask = 64'h8008400420021001; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .lut_mask = 64'h00110011F011F011; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder ( +// Location: LABCELL_X46_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout = ( \neorv32_top_inst|rdata_v~17_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ) ) ) # ( +// !\neorv32_top_inst|rdata_v~17_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .dataf(!\neorv32_top_inst|rdata_v~17_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .lut_mask = 64'h7300730073FF73FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] ( +// Location: FF_X46_Y14_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y13_N4 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[21] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X55_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15] & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) -// Location: FF_X62_Y13_N49 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .lut_mask = 64'h5E5E0E0E54540404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), - .prn(vcc)); +// Location: LABCELL_X63_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .lut_mask = 64'h00500050FF5FFF5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X62_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 ( +// Location: LABCELL_X63_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [19] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout )))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .lut_mask = 64'h9000009009000009; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y15_N10 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N50 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), - .prn(vcc)); +// Location: LABCELL_X55_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .lut_mask = 64'hA0A08080A0008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N53 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), - .prn(vcc)); +// Location: MLABCELL_X52_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .lut_mask = 64'h00A854FC3CB679F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 ( +// Location: LABCELL_X55_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .lut_mask = 64'h8822882244114411; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .lut_mask = 64'hCC00CC0CCC44CC4C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 ( +// Location: MLABCELL_X47_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0] ) + ( !VCC )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .lut_mask = 64'h0000CCCC00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N2 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] ( +// Location: FF_X47_Y18_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y15_N38 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[0] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X46_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3] ) -// Location: FF_X61_Y14_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N49 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] ( +// Location: FF_X46_Y16_N17 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y12_N34 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0] ) + ( VCC ) + ( !VCC )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N58 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), - .prn(vcc)); +// Location: LABCELL_X45_Y11_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~9_sumout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .lut_mask = 64'h333333330F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] -// & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), +// Location: LABCELL_X45_Y11_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & +// ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .lut_mask = 64'h9000009009000009; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .lut_mask = 64'h0000FFFF0000F0F0; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N52 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] ( +// Location: FF_X45_Y11_N4 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~10_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y14_N10 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~10 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N13 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X45_Y11_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~5_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N58 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] ( +// Location: FF_X45_Y11_N1 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~9_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y12_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N8 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), - .prn(vcc)); +// Location: LABCELL_X45_Y11_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~45_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N44 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] ( +// Location: FF_X45_Y11_N10 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~19_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y14_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~46 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 ( +// Location: LABCELL_X45_Y11_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & -// ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & -// ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] -// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~41_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .lut_mask = 64'h8020401008020401; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .lut_mask = 64'h0F0F0F0F33333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 ( +// Location: FF_X45_Y11_N7 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~18_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y11_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24])))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24])))) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .lut_mask = 64'h0000000084218421; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 ( +// Location: LABCELL_X45_Y11_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~37_sumout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .lut_mask = 64'h00000000F0F00F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .lut_mask = 64'h333333330F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])) ) ) ) -// # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q -// & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & -// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), +// Location: FF_X45_Y11_N40 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~17_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[4] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y11_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .lut_mask = 64'h0C8E8ECF0C0C8E8E; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder ( +// Location: LABCELL_X45_Y11_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~33_sumout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] ( +// Location: FF_X45_Y11_N37 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~16_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y12_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X46_Y11_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 )) -// Location: FF_X62_Y14_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y14_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 ( +// Location: LABCELL_X45_Y11_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] -// ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~1_sumout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .lut_mask = 64'h0000FFFFFFFF0000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .lut_mask = 64'h0F0F0F0F33333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N10 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] ( +// Location: FF_X45_Y11_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~8_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y13_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt +// [2] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3])) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [2]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [4]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [3]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~2 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 ( +// Location: LABCELL_X45_Y11_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19])))) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [7]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~29_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .lut_mask = 64'h8200410000820041; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N52 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE ( +// Location: FF_X45_Y11_N31 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~15_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y14_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[17] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X46_Y11_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 )) -// Location: FF_X59_Y14_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~30 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 ( +// Location: LABCELL_X45_Y11_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] -// & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])))) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~25_sumout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .lut_mask = 64'h8421842184218421; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] ( +// Location: FF_X45_Y11_N13 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~14_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[8] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y14_N53 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), - .prn(vcc)); +// Location: LABCELL_X46_Y11_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 )) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~26 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 ( +// Location: LABCELL_X45_Y11_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) ) -// ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout -// & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~21_sumout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .lut_mask = 64'h4040040410100101; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .lut_mask = 64'h333333330F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N56 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] ( +// Location: FF_X45_Y11_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~13_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 ( +// Location: LABCELL_X46_Y11_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]))) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 +// )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 = CARRY(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ), + .cout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .lut_mask = 64'hC030C0300C030C03; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .lut_mask = 64'h0000000000003333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 ( +// Location: LABCELL_X45_Y11_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10] ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [7]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~17_sumout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .lut_mask = 64'h00AA00AA88EE88EE; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N16 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] ( +// Location: FF_X45_Y11_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~12_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[10] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N13 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X46_Y11_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout = SUM(( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11] ) + ( VCC ) + ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 +// )) -// Location: FF_X60_Y14_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .lut_mask = 64'h0000000000005555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 ( +// Location: LABCELL_X46_Y11_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]) -// # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]) -// # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]) -// # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~8_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) # ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11])))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & +// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11])))) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]))) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11])))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Add3~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .lut_mask = 64'hCC8CCC8C8C88CC8C; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .lut_mask = 64'h025702578ADF8ADF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] ( +// Location: FF_X46_Y11_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~11_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 ( +// Location: LABCELL_X46_Y11_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0])) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt +// [7] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [7]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [10]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [9]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .lut_mask = 64'h0AAF0AAF0A0A0A0A; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .lut_mask = 64'h8000800000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[3] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X46_Y11_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt +// [6] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ))) +// ) ) -// Location: FF_X61_Y15_N32 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [6]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~4_combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.baud_cnt [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .lut_mask = 64'h0008000800000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 ( +// Location: LABCELL_X45_Y11_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~4_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .lut_mask = 64'h4D4DCFCF0C0C4D4D; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0 .lut_mask = 64'h0000000000004444; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N40 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE ( +// Location: FF_X42_Y12_N8 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y14_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), - .prn(vcc)); +// Location: LABCELL_X42_Y12_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9] + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N40 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] ( +// Location: FF_X42_Y12_N11 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder ( +// Location: LABCELL_X42_Y12_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12] +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), .datac(gnd), .datad(gnd), .datae(gnd), @@ -56461,5216 +67389,5643 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y14_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] ( +// Location: FF_X42_Y12_N37 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 ( +// Location: LABCELL_X42_Y12_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])))) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .lut_mask = 64'h8421000000008421; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 ( +// Location: FF_X42_Y12_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y12_N10 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [7]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5])))) ) ) +// \neorv32_top_inst|rdata_v~49_combout = ( \neorv32_top_inst|rdata_v~48_combout & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ) ) # ( !\neorv32_top_inst|rdata_v~48_combout & ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ) ) # ( \neorv32_top_inst|rdata_v~48_combout & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ) ) # ( +// !\neorv32_top_inst|rdata_v~48_combout & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout & ( (((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]) # +// (\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~6_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), + .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), + .datae(!\neorv32_top_inst|rdata_v~48_combout ), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), + .combout(\neorv32_top_inst|rdata_v~49_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .lut_mask = 64'h4100004141000041; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~49 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~49 .lut_mask = 64'h7FFFFFFFFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N34 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE ( +// Location: FF_X48_Y14_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|rdata_v~49_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y14_N2 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] ( +// Location: FF_X48_Y14_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|rdata_v~49_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 ( +// Location: LABCELL_X48_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] -// & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), - .datae(gnd), - .dataf(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][7]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][7]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .lut_mask = 64'h8241824182418241; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 ( +// Location: MLABCELL_X47_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [10])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .lut_mask = 64'h00A000A0AAFAAAFA; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .lut_mask = 64'h04150415AEBFAEBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 ( +// Location: LABCELL_X51_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [9]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q -// )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [9]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~12_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), - .datag(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .lut_mask = 64'hC8CC88C8C8CCC8CC; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .lut_mask = 64'h0F0F0AFF0A0A11B1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 ( +// Location: LABCELL_X50_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8])))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~10_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .lut_mask = 64'h0900090000090009; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 ( +// Location: LABCELL_X51_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout -// & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ) # -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~9_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~5_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~14_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~7_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~13_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~11_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .lut_mask = 64'h0F0F00000F0F0A0B; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .lut_mask = 64'h00CC00CC30FC30FC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 ( +// Location: LABCELL_X51_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & -// ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .lut_mask = 64'h70F730F310F100F0; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .lut_mask = 64'h00C400C42AEE2AEE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 ( +// Location: LABCELL_X51_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]) # (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20] & ( -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux100~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .lut_mask = 64'h2F0F0200BFAF0B0A; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39 .lut_mask = 64'h0C550C553F553F55; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 ( +// Location: FF_X51_Y15_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[7]~39_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) # -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [18] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [17])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .lut_mask = 64'h00880088CCEECCEE; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .lut_mask = 64'h0C0C0C0C01010101; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 ( +// Location: LABCELL_X56_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo -// [15]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ) ) ) -// ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~17_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~19_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .lut_mask = 64'hFB00AA00FF00FB00; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2 .lut_mask = 64'h0A0A0A0A00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 ( +// Location: LABCELL_X55_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ) # -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .lut_mask = 64'h10DF00CF10D000C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .shared_arith = "off"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~21_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~18_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~15_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~16_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~22_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~20_combout ), +// Location: FF_X56_Y15_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X67_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .lut_mask = 64'hAAAA0000FEEE0000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .lut_mask = 64'hF2F7020702070207; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 ( +// Location: FF_X67_Y17_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X67_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]) ) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[1]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .lut_mask = 64'h00AA80EAA0FAA8FE; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 ( +// Location: LABCELL_X67_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q -// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo -// [25]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25])))) ) ) ) -// # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout -// ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q $ +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~24_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .lut_mask = 64'hC8CCC0C8CCCCC0CC; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .lut_mask = 64'h82D782D702570257; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 ( +// Location: FF_X67_Y17_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X67_Y17_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X65_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout & ( -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]))) -// # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] -// & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~1_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~25_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .lut_mask = 64'h00000000FDCCFFFD; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .lut_mask = 64'h0F0FF0F0FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~27_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~2_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~28_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~3_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~23_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~26_combout ), +// Location: LABCELL_X67_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [33] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .lut_mask = 64'hA0A0A0A0E0F0F0F0; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .lut_mask = 64'h3032000231330103; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y14_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff ( +// Location: FF_X67_Y17_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan0~29_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 ( +// Location: LABCELL_X67_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1])))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] $ -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|cmp_lo_ge_ff~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .lut_mask = 64'h0000000084218421; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .lut_mask = 64'h6C6C6C6CCCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N16 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), - .prn(vcc)); +// Location: LABCELL_X67_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))))) +// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .lut_mask = 64'h4040404545454045; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] ( +// Location: FF_X67_Y17_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y15_N20 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] ( +// Location: FF_X67_Y17_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 ( +// Location: LABCELL_X67_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .lut_mask = 64'h8822882244114411; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .lut_mask = 64'hC0C0000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 ( +// Location: LABCELL_X67_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~10_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .lut_mask = 64'h0101F1010B0BFB0B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N8 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] ( +// Location: FF_X67_Y17_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 ( +// Location: LABCELL_X67_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .lut_mask = 64'hA00AA00A50055005; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 ( +// Location: LABCELL_X67_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .lut_mask = 64'h0082008200410041; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y14_N41 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .lut_mask = 64'h00AA00AA00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE ( +// Location: FF_X67_Y17_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y14_N20 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] ( +// Location: FF_X67_Y17_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y14_N44 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), - .prn(vcc)); +// Location: LABCELL_X67_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy_ff~q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 ( +// Location: LABCELL_X56_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]))) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [27] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi -// [28]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .lut_mask = 64'h8484848421212121; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .lut_mask = 64'h0202020200000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] ( +// Location: FF_X62_Y18_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 ( +// Location: LABCELL_X62_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])))) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] $ (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), + .datae(gnd), .dataf(gnd), .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .lut_mask = 64'h0000FFFF0000F2D0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X61_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [16]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[16]~DUPLICATE_q ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .lut_mask = 64'h0082004100820041; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .lut_mask = 64'h00440044BBFFBBFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N46 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), - .prn(vcc)); +// Location: LABCELL_X61_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout )))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [48]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [16]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .lut_mask = 64'h0F110F110FBB0FBB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 ( +// Location: LABCELL_X57_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .lut_mask = 64'hC0C0C00080808000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout = \neorv32_top_inst|rdata_v~10_combout .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), + .datab(!\neorv32_top_inst|rdata_v~10_combout ), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .lut_mask = 64'h33333333CCCCCCCC; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y14_N56 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N35 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE ( +// Location: FF_X47_Y14_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 ( +// Location: LABCELL_X57_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] -// & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [23] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal5~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .lut_mask = 64'h4000040010000100; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .lut_mask = 64'h33E233E200E200E2; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 ( +// Location: LABCELL_X53_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ) # -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~12_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~11_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .lut_mask = 64'hAAAAAAAAAAAAAAA8; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .lut_mask = 64'h0302F3A257A9F759; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 ( +// Location: LABCELL_X57_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & -// ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19])))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) # -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]))) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [19] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .lut_mask = 64'h4444D4D4D444DDD4; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .lut_mask = 64'hA0A0B0B0A0F0B0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 ( +// Location: FF_X47_Y18_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y17_N34 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[13] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y15_N43 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[13] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]) # (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & (((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12])) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13])) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [13]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .lut_mask = 64'h0A8A0008AFEFAAAE; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .lut_mask = 64'h0101010145454545; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 ( +// Location: FF_X45_Y15_N55 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~10_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[13] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X48_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi -// [18] & ( ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi -// [18]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .lut_mask = 64'h7755775511001100; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]))) ) ) ) -// # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~13_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y14_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y15_N7 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .lut_mask = 64'hFF00FF008E00AF00; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 ( +// Location: MLABCELL_X39_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) # -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]))))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .lut_mask = 64'h50005000F5F0F5F0; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .lut_mask = 64'h0053F0530F53FF53; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~3_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~9_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X39_Y15_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .lut_mask = 64'hEF00EF00AE00EF00; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 ( +// Location: LABCELL_X46_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6])))) +// \neorv32_top_inst|rdata_v~26_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13])) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [7]), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), + .combout(\neorv32_top_inst|rdata_v~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .lut_mask = 64'h7310731073107310; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~26 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~26 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|rdata_v~26 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4])))) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X49_Y19_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .lut_mask = 64'h7510751075107510; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 ( +// Location: M10K_X49_Y14_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y12_N33 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout = (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0])))) +// \neorv32_top_inst|rdata_v~27_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout +// & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), + .combout(\neorv32_top_inst|rdata_v~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .lut_mask = 64'h7130713071307130; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~27 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~27 .lut_mask = 64'h00000F0F55555F5F; +defparam \neorv32_top_inst|rdata_v~27 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 ( +// Location: LABCELL_X46_Y12_N39 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]) ) ) +// \neorv32_top_inst|rdata_v~28_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( \neorv32_top_inst|rdata_v~27_combout ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( +// \neorv32_top_inst|rdata_v~27_combout ) ) # ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|rdata_v~27_combout & ( (!\neorv32_top_inst|rdata_v~26_combout ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|rdata_v~27_combout & ( +// !\neorv32_top_inst|rdata_v~26_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .dataa(!\neorv32_top_inst|rdata_v~26_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .dataf(!\neorv32_top_inst|rdata_v~27_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), + .combout(\neorv32_top_inst|rdata_v~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .lut_mask = 64'h5F0F5F0F05000500; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~28 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~28 .lut_mask = 64'hAAAAAFAFFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~28 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 ( +// Location: FF_X46_Y13_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~28_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X46_Y13_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~28_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout & -// ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ) -// # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~7_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~8_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~6_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~5_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][13]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][13]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .lut_mask = 64'hF0F0E0E0C0C0C0C0; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3 .lut_mask = 64'h00330033CCFFCCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 ( +// Location: FF_X47_Y13_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~30_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout -// & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ) -// # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~6_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~5_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~10_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~8_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~7_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .lut_mask = 64'h0E0A0E0A0F0A0F0A; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93 .lut_mask = 64'h407340734C7F4C7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 ( +// Location: LABCELL_X50_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout -// ))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~12_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~14_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~2_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~11_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .lut_mask = 64'h00000000CCCCDFDD; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92 .lut_mask = 64'h05C501CD00C001CD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 ( +// Location: LABCELL_X48_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi -// [28]) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~93_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~92_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .lut_mask = 64'h0C000C00CFCCCFCC; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94 .lut_mask = 64'h050505058D8D8D8D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 ( +// Location: FF_X48_Y17_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~94_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout -// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]) # ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26])) ) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~17_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .lut_mask = 64'hFFAFFF2B00000000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .lut_mask = 64'hFFFF000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19 ( +// Location: LABCELL_X50_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]) # (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ) ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~18_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19 .lut_mask = 64'h00000000FAFAFFFF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .lut_mask = 64'h0000000000040004; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 ( +// Location: MLABCELL_X52_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~1_combout ), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .lut_mask = 64'h5500550000550055; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .lut_mask = 64'h0033003300330033; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout & -// ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout & ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & -// ((!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~19_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~9_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), +// Location: FF_X52_Y16_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux36~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[34] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34] ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [34]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_IDLE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20 .lut_mask = 64'h5555405455555055; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 ( +// Location: MLABCELL_X52_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ) ) ) -// # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout -// ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~13_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~1_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~16_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~15_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|LessThan1~20_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .lut_mask = 64'hBBBBAAAABBBBABBB; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .lut_mask = 64'h008A008AFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N20 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o ( +// Location: FF_X52_Y15_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~14_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector1~1_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y9_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] ( +// Location: FF_X52_Y15_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|irq_o~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector3~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(gnd), + .ena(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 ( +// Location: MLABCELL_X52_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal78~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_BUSY~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cnt[4]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|tmp_v~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .lut_mask = 64'h0000000000010001; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .lut_mask = 64'h050505050F050F05; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] ( +// Location: FF_X52_Y15_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [7]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Selector2~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 ( +// Location: FF_X56_Y16_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [7]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .lut_mask = 64'h0000000000000500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .lut_mask = 64'h000A000A00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y8_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] ( +// Location: FF_X64_Y16_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 ( +// Location: LABCELL_X61_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [7]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .lut_mask = 64'h8000800080000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .lut_mask = 64'h04040404BFBFBFBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder ( +// Location: LABCELL_X62_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) - .dataa(gnd), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X61_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout )))))) ) ) -// Location: FF_X55_Y10_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52 .lut_mask = 64'h0C2E0C2E1D3F1D3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 ( +// Location: LABCELL_X57_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [7]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~52_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .lut_mask = 64'h11BB000011BBFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .lut_mask = 64'hCC00C00088008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~63_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), - .prn(vcc)); +// Location: MLABCELL_X47_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout = \neorv32_top_inst|rdata_v~7_combout + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|rdata_v~7_combout ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] ( +// Location: FF_X47_Y14_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 ( +// Location: LABCELL_X53_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] +// & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .lut_mask = 64'h02A202A257F757F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .lut_mask = 64'h44FA44FA44504450; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y10_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~62_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), - .prn(vcc)); +// Location: LABCELL_X55_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .lut_mask = 64'h1110DDD01FE1DF2D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 ( +// Location: LABCELL_X57_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & -// ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [7]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .lut_mask = 64'h111111111F1F11FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux78~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .lut_mask = 64'h888888CC8C8C8CCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 ( +// Location: LABCELL_X56_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE_q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~4_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .lut_mask = 64'hFF05FFFFFF37FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .lut_mask = 64'h0000FFFF33333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[8]~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y8_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] ( +// Location: FF_X57_Y16_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector109~6_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 ( +// Location: LABCELL_X42_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] -// & ((\neorv32_top_inst|rdata_v~64_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|rdata_v~64_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (((\neorv32_top_inst|rdata_v~26_combout )))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((((\neorv32_top_inst|rdata_v~64_combout ))))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|rdata_v~26_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), - .dataf(!\neorv32_top_inst|rdata_v~64_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .lut_mask = 64'h0C00440C3FFF773F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42 .lut_mask = 64'h333300003333FFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] ( +// Location: FF_X57_Y14_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 ( +// Location: LABCELL_X43_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7])))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [7]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .lut_mask = 64'h7654765432103210; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8 .lut_mask = 64'h000000000000FCDC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 ( +// Location: LABCELL_X43_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .lut_mask = 64'h05F505F500FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~26_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[7]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~98 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6 .lut_mask = 64'h000000000000F8FA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 ( +// Location: LABCELL_X43_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [39]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~101_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .lut_mask = 64'h447744770C3F0C3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4 .lut_mask = 64'h000000000000FDCC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 ( +// Location: LABCELL_X43_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~38_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[7]~39_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .lut_mask = 64'h050505370505FF37; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0 .shared_arith = "off"; -// synopsys translate_on +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) ) -// Location: MLABCELL_X72_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .lut_mask = 64'h0504F5C437C9F739; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3 .lut_mask = 64'h00000000FFFF1311; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 ( +// Location: LABCELL_X43_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] ) ) -// ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .lut_mask = 64'hAAAA8AAA00AA80AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5 .lut_mask = 64'h00000000FFFF0705; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 ( +// Location: LABCELL_X43_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ) ) ) +// \neorv32_top_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout +// ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1_combout ), + .combout(\neorv32_top_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .lut_mask = 64'hCCCC00CCCCCC80CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux24~1 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~0 .lut_mask = 64'h0111055503330FFF; +defparam \neorv32_top_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 ( +// Location: LABCELL_X45_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout = -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.rs1_is_signed~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .lut_mask = 64'h000F000F000F000F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~23_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 ( +// Location: LABCELL_X53_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~97_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .lut_mask = 64'h0C1D0C1D2E3F2E3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] ( +// Location: FF_X43_Y17_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~24_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 ( +// Location: LABCELL_X43_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24])))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~101_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .lut_mask = 64'h4744474447774777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] ( +// Location: FF_X43_Y17_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~25_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 ( +// Location: LABCELL_X45_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout )))) ) ) +// \neorv32_top_inst|Equal0~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|divider_core~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add4~61_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), + .combout(\neorv32_top_inst|Equal0~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .lut_mask = 64'h4447444774777477; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|Equal0~8 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~8 .lut_mask = 64'h000F000F00003333; +defparam \neorv32_top_inst|Equal0~8 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 ( +// Location: LABCELL_X53_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .lut_mask = 64'h3333535333335353; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .lut_mask = 64'hF0F0F0F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y9_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] ( +// Location: FF_X43_Y18_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~15_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[8] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[8]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [8]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61 .shared_arith = "off"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout )))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~26_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [40]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~61_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [8]), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68 .lut_mask = 64'h1B0A1B0A1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y7_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE ( +// Location: FF_X57_Y14_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 ( +// Location: LABCELL_X45_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[8]~68_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8]~DUPLICATE_q ), +// \neorv32_top_inst|Equal0~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), + .combout(\neorv32_top_inst|Equal0~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .lut_mask = 64'h000000FF040404FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~9 .lut_mask = 64'h0303030300005555; +defparam \neorv32_top_inst|Equal0~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 ( +// Location: LABCELL_X55_Y21_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .lut_mask = 64'hF3F30000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 ( +// Location: FF_X43_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) +// \neorv32_top_inst|Equal0~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ), + .combout(\neorv32_top_inst|Equal0~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .lut_mask = 64'hF000F000A000A000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~10 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~10 .lut_mask = 64'h05050505000000FF; +defparam \neorv32_top_inst|Equal0~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2 ( +// Location: LABCELL_X50_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # -// (\neorv32_top_inst|rdata_v~8_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~8_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~10_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|rdata_v~8_combout ), - .datac(!\neorv32_top_inst|rdata_v~10_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2 .lut_mask = 64'h3305330533FF33FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .lut_mask = 64'hF0F0F0F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] ( +// Location: FF_X43_Y18_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~2_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 ( +// Location: LABCELL_X45_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [8]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [8]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .lut_mask = 64'h5555E4E40000E4E4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X81_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 ( +// Location: LABCELL_X45_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [8]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), +// \neorv32_top_inst|Equal0~11_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44_combout & (\neorv32_top_inst|Equal0~8_combout & (\neorv32_top_inst|Equal0~9_combout & \neorv32_top_inst|Equal0~10_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~44_combout ), + .datab(!\neorv32_top_inst|Equal0~8_combout ), + .datac(!\neorv32_top_inst|Equal0~9_combout ), + .datad(!\neorv32_top_inst|Equal0~10_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~43_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), + .combout(\neorv32_top_inst|Equal0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .lut_mask = 64'h00EE0E0E66BB6B6B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~11 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~11 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|Equal0~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 ( +// Location: MLABCELL_X39_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|io_rden ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )))) ) ) ) +// \neorv32_top_inst|io_rden~combout = ( \neorv32_top_inst|Equal0~7_combout & ( \neorv32_top_inst|Equal0~11_combout & ( (\neorv32_top_inst|Equal0~1_combout & (\neorv32_top_inst|Equal0~0_combout & (\neorv32_top_inst|io_rden~0_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~6_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~5_combout ), + .dataa(!\neorv32_top_inst|Equal0~1_combout ), + .datab(!\neorv32_top_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|io_rden~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datae(!\neorv32_top_inst|Equal0~7_combout ), + .dataf(!\neorv32_top_inst|Equal0~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2_combout ), + .combout(\neorv32_top_inst|io_rden~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .lut_mask = 64'hF100F100F100FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9] .power_up = "low"; +defparam \neorv32_top_inst|io_rden .extended_lut = "off"; +defparam \neorv32_top_inst|io_rden .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|io_rden .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 ( +// Location: MLABCELL_X39_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) +// \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout & ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout )) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[7]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .lut_mask = 64'h0000000000000003; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~16_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[8] .power_up = "low"; -// synopsys translate_on +// Location: MLABCELL_X39_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ) ) ) -// Location: FF_X66_Y7_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .lut_mask = 64'h0000000088888888; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 ( +// Location: MLABCELL_X39_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE ( +// Location: FF_X39_Y16_N1 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 ( +// Location: LABCELL_X37_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ))) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .lut_mask = 64'h4747474747474747; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .lut_mask = 64'h4700473347CC47FF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] ( +// Location: FF_X37_Y18_N55 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~12_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~22_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 ( +// Location: LABCELL_X43_Y12_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 ( +// Location: LABCELL_X42_Y12_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [17]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .lut_mask = 64'h000F000FF0FFF0FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] ( +// Location: FF_X42_Y12_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~10_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[16] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X66_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y7_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] ( +// Location: FF_X42_Y12_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~9_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 ( +// Location: LABCELL_X42_Y12_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .lut_mask = 64'h4444444477777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] ( +// Location: FF_X42_Y12_N13 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~22_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X43_Y12_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [2]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .lut_mask = 64'h0C0C0C0C3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y7_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] ( +// Location: FF_X47_Y16_N19 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y7_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] ( +// Location: FF_X45_Y15_N26 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 ( +// Location: LABCELL_X45_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13])) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [11]), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [2]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .lut_mask = 64'h1D1D1D1D1D1D1D1D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .lut_mask = 64'h0003000300CF00CF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] ( +// Location: FF_X45_Y15_N34 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~20_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~22_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 ( +// Location: LABCELL_X43_Y12_N39 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]) ) ) +// \neorv32_top_inst|rdata_v~53_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2])) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), + .combout(\neorv32_top_inst|rdata_v~53_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .lut_mask = 64'h03030303CFCFCFCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~53 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~53 .lut_mask = 64'h8800880000000000; +defparam \neorv32_top_inst|rdata_v~53 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X26_Y14_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; // synopsys translate_on -// Location: FF_X66_Y7_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~19_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), +// Location: M10K_X26_Y16_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X66_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 ( +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X45_Y12_N9 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~54 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]) ) ) +// \neorv32_top_inst|rdata_v~54_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [9]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), + .combout(\neorv32_top_inst|rdata_v~54_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~18_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[10] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~54 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~54 .lut_mask = 64'h0505050505FF05FF; +defparam \neorv32_top_inst|rdata_v~54 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 ( +// Location: LABCELL_X45_Y12_N6 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~55 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]) ) ) +// \neorv32_top_inst|rdata_v~55_combout = ( \neorv32_top_inst|rdata_v~54_combout ) # ( !\neorv32_top_inst|rdata_v~54_combout & ( (!\neorv32_top_inst|rdata_v~53_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [8]), - .datac(gnd), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|rdata_v~53_combout ), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), + .dataf(!\neorv32_top_inst|rdata_v~54_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), + .combout(\neorv32_top_inst|rdata_v~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .lut_mask = 64'h2222222277777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~55 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~55 .lut_mask = 64'hF0F3F0F3FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~55 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE ( +// Location: FF_X45_Y12_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~17_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|rdata_v~55_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2] .power_up = "low"; // synopsys translate_on -// Location: FF_X75_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE ( +// Location: FF_X45_Y12_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~16_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~55_combout ), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X74_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 ( +// Location: LABCELL_X45_Y12_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][2]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][2]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .lut_mask = 64'h550F550F55555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 ( +// Location: LABCELL_X48_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q )))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54 .lut_mask = 64'h404C404C737F737F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56 ( +// Location: LABCELL_X51_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[41]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~27_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~65_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56 .lut_mask = 64'h0A4E0A4E1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101 .lut_mask = 64'h333333335D5D11FD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 ( +// Location: MLABCELL_X52_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[9]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[9]~56_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~54_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[17]~43_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~101_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .lut_mask = 64'h0101000001FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .lut_mask = 64'h03034747CF03CF47; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]) ) ) ) +// Location: FF_X52_Y14_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X53_Y15_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~1_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .lut_mask = 64'hF5F50000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 ( +// Location: MLABCELL_X59_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q -// ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .lut_mask = 64'h0504F5C437C9F739; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .lut_mask = 64'h0302CF8A57A9DF65; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 ( +// Location: MLABCELL_X47_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|rdata_v~47_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & -// (((\neorv32_top_inst|rdata_v~47_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~28_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout = \neorv32_top_inst|rdata_v~58_combout - .dataa(!\neorv32_top_inst|rdata_v~28_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), - .datac(!\neorv32_top_inst|rdata_v~47_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~58_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .lut_mask = 64'h0C1D0C1D3F3F3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] ( +// Location: FF_X47_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux52~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 ( +// Location: MLABCELL_X59_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [9]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .lut_mask = 64'h0C0C0C0CAFA0AFA0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .lut_mask = 64'h00AA00AAF0CCF0CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 ( +// Location: LABCELL_X61_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout = +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~3_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .lut_mask = 64'hA0A0A0A0A000A000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .lut_mask = 64'h000F000F000F000F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 ( +// Location: LABCELL_X61_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[18]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .lut_mask = 64'hFF000300FF005700; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux22~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .lut_mask = 64'h55551D1D55551D1D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 ( +// Location: LABCELL_X63_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .lut_mask = 64'h000F000FCC8BCC8B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .lut_mask = 64'h00FF0A0A33330A0A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y10_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), - .prn(vcc)); +// Location: LABCELL_X62_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 ( +// Location: LABCELL_X63_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .lut_mask = 64'h0300CF0003FFCFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .lut_mask = 64'h0055005505550555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~45_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), - .prn(vcc)); +// Location: MLABCELL_X59_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .lut_mask = 64'hC080C08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 ( +// Location: MLABCELL_X59_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .lut_mask = 64'hF000F050F030F070; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [19] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), - .dataf(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .lut_mask = 64'hAFAFAFAFA0A0A0A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y10_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] ( +// Location: FF_X56_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10]~9_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~19_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[10] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X63_Y6_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [10]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 ( +// Location: LABCELL_X53_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle -// [10] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~45_sumout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .lut_mask = 64'h00000E0C00001F0C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 ( +// Location: MLABCELL_X52_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [19]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .lut_mask = 64'h000000000000001D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout = (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~0_combout ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X53_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector48~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .lut_mask = 64'h3BFF3BFF3BFF3BFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder ( +// Location: MLABCELL_X47_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout = \neorv32_top_inst|rdata_v~68_combout .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|rdata_v~68_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] ( +// Location: FF_X47_Y14_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 ( +// Location: LABCELL_X56_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [10])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [10]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux75~0_combout ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .lut_mask = 64'h404C737F404C737F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .lut_mask = 64'h00CC00CCF0AAF0AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] ( +// Location: FF_X64_Y16_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~46_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[10] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec -// [10] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~2_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .lut_mask = 64'h111F111FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y10_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] ( +// Location: FF_X64_Y17_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector106~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~12_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 ( +// Location: LABCELL_X62_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux -// [0] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [10]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [10]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[19]~DUPLICATE_q ), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .lut_mask = 64'h5E0E54045E0E5404; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .lut_mask = 64'h00000D2F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 ( +// Location: LABCELL_X63_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [10]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .lut_mask = 64'h1B1B1B001BFFE41B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .lut_mask = 64'h000A000AF5FFF5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 ( +// Location: LABCELL_X63_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19] ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .lut_mask = 64'h000C000CFF3FFF3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X74_Y9_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~17_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .lut_mask = 64'h333300F0555500F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 ( +// Location: LABCELL_X63_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q )))) ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q )))) ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[10]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [10]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .lut_mask = 64'h000300030F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52 ( +// Location: MLABCELL_X59_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~28_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [42]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~69_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52 .lut_mask = 64'h1B0A1B0A1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .lut_mask = 64'h8880888000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 ( +// Location: LABCELL_X62_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[10]~52_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .lut_mask = 64'h000400040004FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .lut_mask = 64'h00543C79FC54F379; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 ( +// Location: MLABCELL_X59_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .lut_mask = 64'hAAFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .lut_mask = 64'hAA00AA0AAA22AA2A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 ( +// Location: FF_X47_Y18_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[9] .power_up = "low"; +// synopsys translate_on + +// Location: M10K_X49_Y13_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X48_Y17_N1 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y15_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [9]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .lut_mask = 64'hA0A0A0A0A000A000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .lut_mask = 64'h0404040415151515; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ) ) ) +// Location: FF_X45_Y15_N2 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~18_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[9] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~5_combout ), +// Location: MLABCELL_X39_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [9]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .lut_mask = 64'hAAAAAAAA00220A2A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux21~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .lut_mask = 64'h04C407C734F437F7; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 ( +// Location: FF_X39_Y18_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[9] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y13_N8 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [9]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~43 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b -// [20]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [11]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), +// \neorv32_top_inst|rdata_v~43_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [9]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [9]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [9]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a9 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), + .combout(\neorv32_top_inst|rdata_v~43_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .lut_mask = 64'h053604CDF5C6C4FD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~43 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~43 .lut_mask = 64'hA0A0000080800000; +defparam \neorv32_top_inst|rdata_v~43 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 ( +// Location: M10K_X26_Y15_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X43_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~44 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|rdata_v~44_combout = ( \neorv32_top_inst|rdata_v~43_combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~43_combout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) # ( \neorv32_top_inst|rdata_v~43_combout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) ) ) # ( !\neorv32_top_inst|rdata_v~43_combout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datae(!\neorv32_top_inst|rdata_v~43_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a1~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout ), + .combout(\neorv32_top_inst|rdata_v~44_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .lut_mask = 64'h3303330333F333F3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~44 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~44 .lut_mask = 64'hFFFF0505FFFF05FF; +defparam \neorv32_top_inst|rdata_v~44 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE ( +// Location: FF_X43_Y13_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~18_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~44_combout ), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [11]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~70 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), - .shareout()); +// Location: FF_X43_Y13_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~44_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72 ( +// Location: LABCELL_X43_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout )))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~29_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[43]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~73_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [11]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][9]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][9]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72 .lut_mask = 64'h1B0A1B0A1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 ( +// Location: LABCELL_X48_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[11]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~72_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .lut_mask = 64'h001100000F1F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .lut_mask = 64'h0527052705050505; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 ( +// Location: LABCELL_X48_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ))))))) ) ) # +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .lut_mask = 64'hAF00AF00FF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0 .lut_mask = 64'h0D0C0C0C2F3F3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 ( +// Location: LABCELL_X48_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux28~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .lut_mask = 64'hCC00CC00CC000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78 .lut_mask = 64'h3101310131F131F1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 ( +// Location: LABCELL_X48_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # -// (\neorv32_top_inst|rdata_v~51_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~51_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~32_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ))))) ) ) - .dataa(!\neorv32_top_inst|rdata_v~51_combout ), - .datab(!\neorv32_top_inst|rdata_v~32_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~78_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .lut_mask = 64'h5503550355FF55FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79 .lut_mask = 64'h02070207F2F7F2F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] ( +// Location: FF_X48_Y17_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux50~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~79_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 ( +// Location: LABCELL_X51_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [11]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal101~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .lut_mask = 64'h5E5E54540E0E0404; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .lut_mask = 64'h00000000FFF0FFF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 ( +// Location: LABCELL_X51_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~1_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .lut_mask = 64'hFF050000FF370000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux20~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X75_Y12_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux5~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .lut_mask = 64'h0000000004040404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N22 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] ( +// Location: FF_X51_Y22_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 ( +// Location: LABCELL_X57_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q +// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .lut_mask = 64'h8080D5D58A8ADFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] ( +// Location: FF_X57_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~36_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 ( +// Location: LABCELL_X48_Y22_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20] ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [20]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .lut_mask = 64'h0000000033333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] ( +// Location: FF_X47_Y20_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 ( +// Location: LABCELL_X48_Y22_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [20]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~102 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .lut_mask = 64'h0303030357575757; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] ( +// Location: FF_X46_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[7]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 ( +// Location: LABCELL_X46_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [20]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y14_N34 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 ( +// Location: LABCELL_X48_Y22_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout )) ) +// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20] & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ) ) ) +// ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .lut_mask = 64'h3500350F35F035FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .lut_mask = 64'hAA00A00088008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] ( +// Location: FF_X48_Y22_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~8_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux65~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 ( +// Location: LABCELL_X48_Y22_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20] & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20] +// ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20] & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ) ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20] ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .lut_mask = 64'h0000000005000500; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .lut_mask = 64'hFFFF03FFFFFF57FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N55 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] ( +// Location: FF_X48_Y22_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector96~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -61679,7018 +73034,7012 @@ dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|io_rden~combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 ( +// Location: MLABCELL_X47_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout = ( !\neorv32_top_inst|io_rden~combout & ( !\neorv32_top_inst|io_wren~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder_combout = \neorv32_top_inst|rdata_v~73_combout .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|io_wren~combout ), + .datad(!\neorv32_top_inst|rdata_v~73_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|io_rden~combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .lut_mask = 64'hFF00FF0000000000; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N14 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err ( +// Location: FF_X47_Y14_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 ( +// Location: LABCELL_X55_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q & ( (\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q & -// ((!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ) # (\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .lut_mask = 64'h00F500F5FFFFFFFF; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y12_N58 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .lut_mask = 64'h22222222F3F3C0C0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 ( +// Location: MLABCELL_X52_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .lut_mask = 64'h050436CDF5C4C6FD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N58 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] ( +// Location: FF_X62_Y18_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[20]~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X64_Y14_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 ( +// Location: LABCELL_X62_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( (\neorv32_top_inst|io_rden~combout & -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) # -// ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|io_rden~combout & -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q )))) ) + ( GND ) + +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|io_rden~combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .lut_mask = 64'h0002000201030103; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N7 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] ( +// Location: FF_X60_Y14_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~18 ( +// Location: LABCELL_X63_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 ( // Equation(s): -// \neorv32_top_inst|rdata_v~18_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31] & -// !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 -// & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31] & !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q )) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[20]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~18_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~18 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~18 .lut_mask = 64'hA000000080000000; -defparam \neorv32_top_inst|rdata_v~18 .shared_arith = "off"; -// synopsys translate_on - -// Location: M10K_X58_Y12_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18], -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .lut_mask = 64'h03000300CFFFCFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X76_Y12_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +// Location: LABCELL_X63_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[52]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .lut_mask = 64'h0C000CCC2E222EEE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~23 ( +// Location: LABCELL_X62_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~23_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 & ( ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~23 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~23 .lut_mask = 64'h111111111F1F1F1F; -defparam \neorv32_top_inst|rdata_v~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .lut_mask = 64'h0011001155555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 ( +// Location: MLABCELL_X59_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout = ( \neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) # ((!\neorv32_top_inst|rdata_v~18_combout ) # -// (\neorv32_top_inst|rdata_v~19_combout )) ) ) # ( !\neorv32_top_inst|rdata_v~23_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (!\neorv32_top_inst|rdata_v~22_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|rdata_v~18_combout ) # (\neorv32_top_inst|rdata_v~19_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg +// [20])))) ) ) - .dataa(!\neorv32_top_inst|rdata_v~22_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), - .datac(!\neorv32_top_inst|rdata_v~18_combout ), - .datad(!\neorv32_top_inst|rdata_v~19_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~23_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .lut_mask = 64'hB8BBB8BBFCFFFCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .lut_mask = 64'hA800A80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 ( +// Location: LABCELL_X56_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .lut_mask = 64'h0003000330333033; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .lut_mask = 64'hAAAA0202AAAA02AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 ( +// Location: MLABCELL_X59_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout = ( \neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~15_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) # ( -// !\neorv32_top_inst|rdata_v~34_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~15_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|rdata_v~15_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~34_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .lut_mask = 64'h0A5F0A5F1B5F1B5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .lut_mask = 64'hFF33FF33CC00CC00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] ( +// Location: FF_X59_Y14_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux49~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~17_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 ( +// Location: LABCELL_X48_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [21]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [21]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .lut_mask = 64'h0A0AFCFC0A0A0C0C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .lut_mask = 64'h0F0F55550F0F5555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE ( +// Location: FF_X55_Y19_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector46~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 ( +// Location: MLABCELL_X59_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[12]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .lut_mask = 64'h11441F49F194FF99; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .lut_mask = 64'h7575646431312020; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b +// [10] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o +// [21]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o +// [21]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .lut_mask = 64'h303F202A757F9A95; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE ( +// Location: FF_X64_Y16_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~19_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 ( +// Location: LABCELL_X63_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .lut_mask = 64'h0D0D0D0D2F2F2F2F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .lut_mask = 64'h0F270F270F270F27; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~19_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X63_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .lut_mask = 64'h000CFF0C550C550C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 ( +// Location: LABCELL_X62_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [12]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[12]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~74 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64 ( +// Location: LABCELL_X63_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~30_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[44]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~77_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64 .lut_mask = 64'h1D0C1D0C1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .lut_mask = 64'h0055005505550555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 ( +// Location: LABCELL_X60_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux23~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[12]~64_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [12]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .lut_mask = 64'h00000F0F00440F4F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5 .lut_mask = 64'hFA00000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 ( +// Location: MLABCELL_X59_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .lut_mask = 64'hF3F30000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .lut_mask = 64'hAAAA000AAAAA222A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 ( +// Location: LABCELL_X55_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .lut_mask = 64'hA0A0A0A0A0A00000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .lut_mask = 64'h000F000FFAFA000F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 ( +// Location: FF_X53_Y20_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y21_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~5_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .lut_mask = 64'hAAAAAAAA020202AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux19~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] ( +// Location: FF_X53_Y21_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y20_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23 ( +// Location: LABCELL_X48_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [11]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23 .lut_mask = 64'h4747474755554747; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X58_Y10_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X46_Y19_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata[22]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[9]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: M10K_X76_Y10_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: LABCELL_X48_Y21_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE_q +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[22]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .lut_mask = 64'h0505050505FF05FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y21_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22])) ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22] & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ) +// ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .lut_mask = 64'hA0A08080A0008000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X56_Y21_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q +// ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .lut_mask = 64'hA000A0AAF555F5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y21_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 ( +// Location: LABCELL_X53_Y21_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout = !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22] ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .lut_mask = 64'hFF00FF00FF00FF00; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N13 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] ( +// Location: MLABCELL_X52_Y21_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6])) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout +// & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch +// [22]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .lut_mask = 64'hF0FFF3FFF5FFF7FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X52_Y21_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 ( +// Location: LABCELL_X56_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .lut_mask = 64'h0000000000000F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .lut_mask = 64'h7575313164642020; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] ( +// Location: FF_X63_Y15_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 ( +// Location: LABCELL_X63_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & -// ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29] & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[29]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .lut_mask = 64'h2205770522AF77AF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .lut_mask = 64'h10101010DFDFDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N13 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), - .prn(vcc)); +// Location: LABCELL_X63_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[54]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .lut_mask = 64'h0030CCFC44744474; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N57 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~54 ( +// Location: LABCELL_X62_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[22]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X63_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~54_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29] & -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29])) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~54_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~54 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~54 .lut_mask = 64'hAA00AA00A000A000; -defparam \neorv32_top_inst|rdata_v~54 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .lut_mask = 64'h000F000F005F005F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~55 ( +// Location: LABCELL_X57_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 ( // Equation(s): -// \neorv32_top_inst|rdata_v~55_combout = ( \neorv32_top_inst|rdata_v~54_combout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout )))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & -// (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout )) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ))) ) ) # ( !\neorv32_top_inst|rdata_v~54_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~54_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~55_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~55 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~55 .lut_mask = 64'hFFFFFFFF05370537; -defparam \neorv32_top_inst|rdata_v~55 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .lut_mask = 64'hC0C0C00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 ( +// Location: LABCELL_X57_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) # -// (\neorv32_top_inst|rdata_v~53_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (((\neorv32_top_inst|rdata_v~53_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|rdata_v~55_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] +// $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))))) ) ) ) - .dataa(!\neorv32_top_inst|rdata_v~55_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|rdata_v~53_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .lut_mask = 64'h0F110F110FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .lut_mask = 64'h111EE1EE444DD4DD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] ( +// Location: LABCELL_X57_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout +// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .lut_mask = 64'hA0A0A2A2A0AAA2AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X59_Y15_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux48~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[23] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 ( +// Location: MLABCELL_X59_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b +// [8]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [28])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] +// $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .lut_mask = 64'h506373105F6C7F13; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder_combout = \neorv32_top_inst|rdata_v~52_combout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [13]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|rdata_v~52_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .lut_mask = 64'h55005500E4E4E4E4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder .lut_mask = 64'h00FF00FF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE ( +// Location: FF_X47_Y14_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~21_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 ( +// Location: MLABCELL_X59_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.out_en~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .lut_mask = 64'h0000000050005000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .lut_mask = 64'h7351735162406240; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 ( +// Location: FF_X60_Y14_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X63_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23])) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|res_o~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .lut_mask = 64'h0303000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .lut_mask = 64'h11DD11DD00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 ( +// Location: LABCELL_X63_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55])))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [55]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .lut_mask = 64'h0303CFCF0000FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .lut_mask = 64'h04C404C437F704C4; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 ( +// Location: FF_X63_Y15_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q )))) ) + ( GND ) + -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[13]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~78 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .lut_mask = 64'h00000B4F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60 ( +// Location: LABCELL_X62_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~31_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [45]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~81_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60 .lut_mask = 64'h0F440F440F770F77; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .lut_mask = 64'h0505050515151515; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 ( +// Location: LABCELL_X60_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]))) -// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[13]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[13]~60_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .lut_mask = 64'hCC008800C0008000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .lut_mask = 64'hA080A08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .lut_mask = 64'hCC04CC04CC04CCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y10_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1] ( +// Location: FF_X47_Y18_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op -// [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X37_Y17_N29 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .lut_mask = 64'h053704C9F5F7C439; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 ( +// Location: LABCELL_X37_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15] & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~4_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [15]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .lut_mask = 64'hAA00AA0AAA22AA2A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .lut_mask = 64'h0C0C3F3F11DD11DD; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y12_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] ( +// Location: FF_X40_Y14_N52 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder ( +// Location: LABCELL_X48_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25] +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 & ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X48_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~17 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~17_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & ( +// (((\neorv32_top_inst|rdata_v~16_combout ) # (\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15])) # (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[15]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [15]), + .datad(!\neorv32_top_inst|rdata_v~16_combout ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [15]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~17 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~17 .lut_mask = 64'h7FFFFFFFFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N58 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] ( +// Location: FF_X48_Y14_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25]~feeder_combout ), + .d(\neorv32_top_inst|rdata_v~17_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y16_N47 -dffeas \neorv32_top_inst|clk_div_ff[5] ( +// Location: FF_X48_Y14_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|clk_div [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|rdata_v~17_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N45 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[3] ( +// Location: LABCELL_X48_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 ( // Equation(s): -// \neorv32_top_inst|clk_gen [3] = (!\neorv32_top_inst|clk_div [5]) # (\neorv32_top_inst|clk_div_ff [5]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div_ff [5]), - .datad(!\neorv32_top_inst|clk_div [5]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][15]~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][15]~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [3]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[3] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[3] .lut_mask = 64'hFF0FFF0FFF0FFF0F; -defparam \neorv32_top_inst|clk_gen[3] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .lut_mask = 64'h3333333300FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y16_N55 -dffeas \neorv32_top_inst|clk_div_ff[0] ( +// Location: FF_X47_Y13_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|clk_div [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|rdata_v~20_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y16_N54 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[0] ( +// Location: MLABCELL_X47_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83 ( // Equation(s): -// \neorv32_top_inst|clk_gen [0] = ( \neorv32_top_inst|clk_div [0] & ( \neorv32_top_inst|clk_div_ff [0] ) ) # ( !\neorv32_top_inst|clk_div [0] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|clk_div_ff [0]), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|clk_div [0]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [0]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[0] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[0] .lut_mask = 64'hFFFF3333FFFF3333; -defparam \neorv32_top_inst|clk_gen[0] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83 .lut_mask = 64'h034703478BCF8BCF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y16_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 ( +// Location: LABCELL_X51_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & (\neorv32_top_inst|clk_gen [0]))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & ((((\neorv32_top_inst|clk_gen [1]))) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]))) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & (\neorv32_top_inst|clk_gen [2]))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & ((((\neorv32_top_inst|clk_gen [3]))) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), - .datac(!\neorv32_top_inst|clk_gen [2]), - .datad(!\neorv32_top_inst|clk_gen [1]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), - .dataf(!\neorv32_top_inst|clk_gen [3]), - .datag(!\neorv32_top_inst|clk_gen [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~83_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .lut_mask = 64'h195D1919195D5D5D; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y16_N33 -cyclonev_lcell_comb \neorv32_top_inst|Add0~5 ( -// Equation(s): -// \neorv32_top_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|clk_div [11] ) + ( GND ) + ( \neorv32_top_inst|Add0~14 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [11]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|Add0~14 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|Add0~5_sumout ), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|Add0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|Add0~5 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|Add0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84 .lut_mask = 64'h000000FF454500FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N34 -dffeas \neorv32_top_inst|clk_div[11] ( +// Location: FF_X51_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|Add0~5_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~84_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y16_N40 -dffeas \neorv32_top_inst|clk_div_ff[11] ( +// Location: FF_X51_Y16_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [11]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N39 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[7] ( +// Location: LABCELL_X57_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) +// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .lut_mask = 64'h000E666BE0EEB6BB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder ( // Equation(s): -// \neorv32_top_inst|clk_gen [7] = (!\neorv32_top_inst|clk_div [11]) # (\neorv32_top_inst|clk_div_ff [11]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout = ( \neorv32_top_inst|rdata_v~34_combout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|clk_div_ff [11]), - .datad(!\neorv32_top_inst|clk_div [11]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [7]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[7] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[7] .lut_mask = 64'hFF0FFF0FFF0FFF0F; -defparam \neorv32_top_inst|clk_gen[7] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y16_N38 -dffeas \neorv32_top_inst|clk_div_ff[6] ( +// Location: FF_X47_Y12_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|clk_div [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|clk_div_ff [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|clk_div_ff[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|clk_div_ff[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N36 -cyclonev_lcell_comb \neorv32_top_inst|clk_gen[4] ( +// Location: MLABCELL_X59_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 ( // Equation(s): -// \neorv32_top_inst|clk_gen [4] = (!\neorv32_top_inst|clk_div [6]) # (\neorv32_top_inst|clk_div_ff [6]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) - .dataa(!\neorv32_top_inst|clk_div_ff [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), .datab(gnd), - .datac(!\neorv32_top_inst|clk_div [6]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .lut_mask = 64'h00AAF0FF00AAF000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X63_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|clk_gen [4]), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|clk_gen[4] .extended_lut = "off"; -defparam \neorv32_top_inst|clk_gen[4] .lut_mask = 64'hF5F5F5F5F5F5F5F5; -defparam \neorv32_top_inst|clk_gen[4] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .lut_mask = 64'h3355335533333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y16_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 ( +// Location: FF_X60_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X63_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & -// ((((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ))))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & -// (((\neorv32_top_inst|clk_gen [4])))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & (\neorv32_top_inst|clk_gen [5])))) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & ((((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ))))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & -// (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & (\neorv32_top_inst|clk_gen [6])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & ((\neorv32_top_inst|clk_gen [7])))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), - .datab(!\neorv32_top_inst|clk_gen [5]), - .datac(!\neorv32_top_inst|clk_gen [6]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), - .dataf(!\neorv32_top_inst|clk_gen [7]), - .datag(!\neorv32_top_inst|clk_gen [4]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[56]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .lut_mask = 64'h05BB05AA05BB05FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .lut_mask = 64'h555533000F0F3300; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 ( +// Location: LABCELL_X62_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] ) -// ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] $ -// ((((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ))) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .lut_mask = 64'h00000B4F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X62_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .lut_mask = 64'h20DF20DF00FF00FF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .lut_mask = 64'h0000333303033333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y17_N40 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] ( +// Location: MLABCELL_X59_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg +// [24]))) ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .lut_mask = 64'hF0C0000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .lut_mask = 64'hFF000500FF003700; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y19_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[10] .power_up = "low"; +// synopsys translate_on + +// Location: M10K_X38_Y21_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X38_Y22_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10] & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [10]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [10]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .lut_mask = 64'h00473347CC47FF47; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X39_Y18_N56 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[10] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y15_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] ( +// Location: FF_X40_Y12_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [10]), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y15_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] ( +// Location: LABCELL_X40_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X40_Y16_N47 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 ( +// Location: LABCELL_X37_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3] & -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0] & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1])) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .lut_mask = 64'h000C000C00000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 ( +// Location: FF_X37_Y16_N5 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] ) ) -// # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout -// ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( -// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10] & ( +// (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [10]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .lut_mask = 64'h00FF7F7F00FFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .lut_mask = 64'h0505000005050F0F; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y15_N44 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state ( +// Location: FF_X37_Y16_N22 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~14_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[10] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y12_N36 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~35 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~35_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10] & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a10 ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [10]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [10]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~35_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~35 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~35 .lut_mask = 64'hC8C8000000000000; +defparam \neorv32_top_inst|rdata_v~35 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y12_N42 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~36 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~36_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( \neorv32_top_inst|rdata_v~35_combout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( \neorv32_top_inst|rdata_v~35_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( !\neorv32_top_inst|rdata_v~35_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout & ( !\neorv32_top_inst|rdata_v~35_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~35_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~36_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~36 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~36 .lut_mask = 64'hFFFFFFFF000F555F; +defparam \neorv32_top_inst|rdata_v~36 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y17_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0 ( +// Location: LABCELL_X45_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder_combout = ( \neorv32_top_inst|rdata_v~36_combout ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .dataf(!\neorv32_top_inst|rdata_v~36_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0 .lut_mask = 64'h0000000011001100; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y15_N34 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] ( +// Location: FF_X45_Y12_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y15_N35 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] ( +// Location: FF_X45_Y12_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), + .d(\neorv32_top_inst|rdata_v~36_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y15_N20 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), - .prn(vcc)); +// Location: LABCELL_X45_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][10]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][10]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6 .lut_mask = 64'h0000FFFF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder ( +// Location: LABCELL_X46_Y12_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .lut_mask = 64'h0F000FFF330033FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X51_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .lut_mask = 64'h0003000301010101; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N43 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [7]), - .prn(vcc)); +// Location: LABCELL_X50_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0 .lut_mask = 64'hAAAAAAAA00800080; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [7]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), - .prn(vcc)); +// Location: LABCELL_X51_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[7]~10_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2 .lut_mask = 64'h0F050F030F050F07; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N52 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [7]), - .prn(vcc)); +// Location: LABCELL_X51_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout = ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1 .lut_mask = 64'hFEFCFEFC00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder ( +// Location: LABCELL_X51_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [7] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout )) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [7]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~89_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~90_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux81~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .lut_mask = 64'h8DAF8DAF05AF05AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N52 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] ( +// Location: FF_X51_Y15_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7]~feeder_combout ), - .asdata(\~GND~combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~91_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .power_up = "low"; // synopsys translate_on -// Location: M10K_X58_Y14_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4], -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; -// synopsys translate_on +// Location: LABCELL_X50_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]) ) ) -// Location: M10K_X58_Y17_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .lut_mask = 64'hA0A0A0A000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~25 ( +// Location: LABCELL_X48_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~25_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 & ( ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a7 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~25 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~25 .lut_mask = 64'h000F000F333F333F; -defparam \neorv32_top_inst|rdata_v~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .lut_mask = 64'h0000000000A000A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X58_Y13_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 ( - .portawe(vcc), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(vcc), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain(10'b0000000000), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(10'b0000000000), - .portbaddr(10'b0000000000), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7_PORTADATAOUT_bus ), - .portbdataout(), - .eccstatus(), - .dftout()); +// Location: LABCELL_X48_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .clk0_core_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .clk0_input_clock_enable = "ena0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .init_file_layout = "port_a"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .operation_mode = "rom"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 1023; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 1024; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 32; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_a_write_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 10; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .mem_init4 = "000000000000000000000000000000000000000000000000000000830102D200431040B5A520100405812939C6A329270A8832914701109000800182A0880001CE7200C00008C20000218684A80A6000A20007100CB0182A08800008C0009426344441000318E050400280C638046000A031D49381A51190D5292913528301A5698260900001C49380075040A014000092749C873A940008C00000A60002300013800000120014C000880001A42A12001802315E911CC70A5623B8A0014E0018E01A8E0000073800018800000E72098949C433900000589480091005F1C27F1F410039FD13FEBFC04F7F04FF800013C08182108010F000010020084401046018"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .mem_init3 = "755053C5053BF3FD1F0295F0202457C0087F9F01827084010003001400100500407018380CF80809825036FF01000100200840000420084401040A01801180617FF24FFB4500015260190A401003EB017C0FFC040A404FFC0402401F7B458FF7F0400609C08F86FFC13FE87C00CFEE4FF860000B479CE937C040077FA93FE110040E82004010000101007014060000110038000000084340935F27CFF0019F11BF00179FD7C10F0000100200853F0817F0015F0423F043C0578000859F0027F043C0D7B050503609C217FC0587C0097C0487C05042DF00040080211004108060187E50503609C21F1F410008800000010051C0500001010060E00000D887C5C0"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .mem_init2 = "7D835E00021081F4E7DF40939F041F401004083C0001F4D83C7D876000036DDC07D827EA5004EFF44FFADFA42DF1031F012DF1031F0101FA93FE21827013E1A413F0400601C010D0118008008420004000842010440184601044018481200A12846000320CC0370D42601F20FC3C117C4609C02F87B005FA101827003E15D017006810C0200C020040001C0601C0601C0601C0602408024090D0000E03B00440609C00F8606086FF0D32409FFE0171F04284FF804083C0EFA5F0127F0C00400000000010802101014014200103004000083C0000400840000420087D5CFFE4018350DC29F87250837FFFCE0EFC100C0200404001000F0000D83C709F4101000F"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .mem_init1 = "D701C03014FAA4FF8BE0415E993FE31C05678106FB24FF8810C42828408014A1001E53A7AD09C21030013843D0C03C01C3C0A40100001F07AB0E7FAF141470701E0408D05A0005C1FC00100404C8664FFBC104004B05793C641021F9F2741E0624FFAA1003A1813FEB0404F85E4FFA3EF84008F821713FE11004F56F43847434460B93FECD0711D0AAC906AC50B1000C1D20E1042950D2A5E87DE05BFE7DC17058067DBE60780609C0605802FFCF4305A1D2081011F4F1740705A6FFCE1340D1386012ABFE884A4D07FE51817D054601FE10D0280941400404F0141A0444FF88609C02F86C1D2201F030109201F8301093FE987C0D0424987E0D0404FFAA1FFB"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7 .mem_init0 = "FEF8381F93FEB8780F07E4FFAE1843E1F13FEC07C0087E4FFB41F0041F13FED861010764FFA060860640700CC007F0700C004609C02F877009E0009C27FDBE6F9C01007F601BF7003FE007C1D0380EC01101827003E1AC0270182009C76F7A81AC02740281AC02710281F1C27A0680A02B005E8000680A00C609C00F87708474001827023E185B670C0601C06014040140401408028090FFC0600404004B0D02000800100294012900D010A500405405A4044A0030083E000210A120030401003DE018060D821A1800FF8060142601036092C7006E6002450040100001000010000100001000010000100001000010000100001003C1007E0002107040180200"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .lut_mask = 64'h0000000011111111; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0 ( +// Location: LABCELL_X48_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout = (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7~portadataout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a7~portadataout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0 .lut_mask = 64'h000F000F000F000F; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .lut_mask = 64'hF0F0F0F000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~64 ( +// Location: LABCELL_X50_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~64_combout = ( \neorv32_top_inst|rdata_v~25_combout & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ) ) # ( !\neorv32_top_inst|rdata_v~25_combout & ( -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ) ) # ( \neorv32_top_inst|rdata_v~25_combout & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ) ) # ( -// !\neorv32_top_inst|rdata_v~25_combout & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout & ( (((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7])) # (\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout +// ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), - .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), - .datae(!\neorv32_top_inst|rdata_v~25_combout ), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~64_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~64 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~64 .lut_mask = 64'h7FFFFFFFFFFFFFFF; -defparam \neorv32_top_inst|rdata_v~64 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y13_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[7] ( +// Location: FF_X50_Y18_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~64_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[7] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y11_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 ( +// Location: LABCELL_X53_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) +// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datac(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .lut_mask = 64'h2222222200000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X68_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .lut_mask = 64'h1000BAFF1000BA00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .lut_mask = 64'h0000F0F00F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y13_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] ( +// Location: FF_X60_Y19_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux30~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 ( +// Location: MLABCELL_X59_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .lut_mask = 64'hCEDF021302130213; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] ( +// Location: FF_X59_Y16_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~7_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 ( +// Location: LABCELL_X55_Y20_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [1]), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 ( +// Location: MLABCELL_X47_Y19_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4])) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~5_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .lut_mask = 64'h883388330F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .lut_mask = 64'h05F505F505F505F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] ( +// Location: FF_X53_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1]~6_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 ( +// Location: MLABCELL_X59_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), + .dataa(gnd), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .lut_mask = 64'h66666666CCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X64_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) -// ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .lut_mask = 64'h0000CC050000CCF5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] ( +// Location: FF_X59_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2]~4_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 ( +// Location: LABCELL_X55_Y20_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .lut_mask = 64'h78787878F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 ( +// Location: LABCELL_X53_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|Add0~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [3]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .lut_mask = 64'h0000CCCC0000505F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] ( +// Location: FF_X53_Y18_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3]~3_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 ( +// Location: LABCELL_X57_Y20_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .lut_mask = 64'h8080808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X64_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .lut_mask = 64'h0123CDEF01230123; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y11_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] ( +// Location: FF_X57_Y20_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4]~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 ( +// Location: LABCELL_X55_Y20_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [3]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [1]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .lut_mask = 64'hA000A00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 ( +// Location: MLABCELL_X47_Y22_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .lut_mask = 64'h00F000F000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y11_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o ( +// Location: MLABCELL_X47_Y22_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [6])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]))) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o .lut_mask = 64'h0000FFFF5555FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .lut_mask = 64'h505F505F505F505F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT ( +// Location: FF_X47_Y22_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 ( +// Location: LABCELL_X56_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .lut_mask = 64'h0000F0004444F444; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE ( +// Location: FF_X56_Y14_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 ( +// Location: LABCELL_X55_Y20_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .lut_mask = 64'h3333333333333F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y10_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 ( +// Location: LABCELL_X53_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .lut_mask = 64'h053704C9F5F7C439; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 ( +// Location: LABCELL_X48_Y21_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (\neorv32_top_inst|rdata_v~57_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & -// (((\neorv32_top_inst|rdata_v~57_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|rdata_v~59_combout )))) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux54~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|rdata_v~57_combout ), - .datad(!\neorv32_top_inst|rdata_v~59_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .lut_mask = 64'h0A1B0A1B5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] ( +// Location: FF_X53_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux47~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 ( +// Location: LABCELL_X57_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] -// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [14]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .lut_mask = 64'h55D855D800D800D8; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE ( +// Location: FF_X57_Y17_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~21_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X74_Y11_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [13]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), - .prn(vcc)); +// Location: LABCELL_X55_Y20_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 )) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 ( +// Location: MLABCELL_X52_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .lut_mask = 64'h5353535355555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 ( +// Location: MLABCELL_X52_Y21_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q )))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[14]~DUPLICATE_q ), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [14]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~82 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 ( +// Location: FF_X52_Y20_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X56_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[46]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~85_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y14_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 ( +// Location: LABCELL_X55_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~5_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .lut_mask = 64'hC080C080C0800000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 ( +// Location: MLABCELL_X47_Y22_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .lut_mask = 64'hFF000500FF003700; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux17~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder ( +// Location: MLABCELL_X47_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] ( +// Location: FF_X47_Y22_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15]~feeder_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 ( +// Location: LABCELL_X60_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .lut_mask = 64'h503050305F3F5F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] ( +// Location: FF_X60_Y15_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~27_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y6_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X55_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), - .prn(vcc)); +// Location: LABCELL_X50_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 ( +// Location: LABCELL_X50_Y20_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[15]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [15]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .lut_mask = 64'h0000000100000023; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .lut_mask = 64'h00330033FF33FF33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y8_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] ( +// Location: FF_X50_Y20_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y6_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] ( +// Location: MLABCELL_X59_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X59_Y16_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 ( +// Location: LABCELL_X55_Y20_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle -// [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [15]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [15]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .lut_mask = 64'h000000EC000002EE; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 ( +// Location: LABCELL_X50_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~0_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [15]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .lut_mask = 64'h55555F5FFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y8_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[15] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X60_Y8_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 ( +// Location: LABCELL_X48_Y22_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [15]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [15]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [11] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux70~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .lut_mask = 64'h550F0000550FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .lut_mask = 64'h0F0F0F0F55555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] ( +// Location: FF_X50_Y20_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~28_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 ( +// Location: LABCELL_X56_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .lut_mask = 64'h05FF05FF37FF37FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] ( +// Location: FF_X56_Y19_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector101~3_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[15] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X59_Y15_N22 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~20 ( +// Location: LABCELL_X55_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 ( // Equation(s): -// \neorv32_top_inst|rdata_v~20_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & -// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ) # (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 )) - .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ), - .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~20_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~20 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~20 .lut_mask = 64'hC080C08000000000; -defparam \neorv32_top_inst|rdata_v~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~21 ( +// Location: LABCELL_X53_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~21_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 & ( ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a7 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~21 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~21 .lut_mask = 64'h000F000F555F555F; -defparam \neorv32_top_inst|rdata_v~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout = ( \neorv32_top_inst|rdata_v~18_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (((\neorv32_top_inst|rdata_v~20_combout & -// !\neorv32_top_inst|rdata_v~21_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (!\neorv32_top_inst|rdata_v~19_combout )) ) ) # ( !\neorv32_top_inst|rdata_v~18_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|rdata_v~20_combout & !\neorv32_top_inst|rdata_v~21_combout )) ) ) - - .dataa(!\neorv32_top_inst|rdata_v~19_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), - .datac(!\neorv32_top_inst|rdata_v~20_combout ), - .datad(!\neorv32_top_inst|rdata_v~21_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~18_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X53_Y18_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .lut_mask = 64'h0C000C002E222E22; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 ( +// Location: LABCELL_X60_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout = ( \neorv32_top_inst|rdata_v~35_combout & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) -// ) ) # ( !\neorv32_top_inst|rdata_v~35_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux53~1_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~35_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .lut_mask = 64'h20F020F02FFF2FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] ( +// Location: FF_X60_Y15_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux46~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 ( +// Location: LABCELL_X55_Y20_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [15]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .lut_mask = 64'h00CC00CCAAF0AAF0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 ( +// Location: MLABCELL_X52_Y20_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .lut_mask = 64'h0F0A33225AAF66BB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 ( +// Location: LABCELL_X48_Y22_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [13] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .lut_mask = 64'h331B331B331B331B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [15]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [15]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~86 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), - .shareout()); +// Location: FF_X52_Y20_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 ( +// Location: LABCELL_X55_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [47]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~33_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [15]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 ( +// Location: FF_X55_Y15_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X55_Y20_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [15]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~5_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .lut_mask = 64'hC080C080C0800000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 ( +// Location: LABCELL_X50_Y20_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .lut_mask = 64'hAAAA0022AAAA0A2A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux16~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 ( +// Location: LABCELL_X50_Y20_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]))) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), + .datad(gnd), + .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .lut_mask = 64'h1111F1A11111F1A1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y6_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .lut_mask = 64'h4747474747474747; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y6_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] ( +// Location: FF_X50_Y20_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 ( +// Location: LABCELL_X56_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [16]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .lut_mask = 64'h0000000101000101; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y6_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] ( +// Location: FF_X56_Y14_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add2~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [1]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y6_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), - .prn(vcc)); +// Location: LABCELL_X55_Y20_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~30 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 ( +// Location: LABCELL_X53_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [16]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .lut_mask = 64'h000000040E0A0E0E; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] ( +// Location: MLABCELL_X47_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]) ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y18_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 ( +// Location: LABCELL_X55_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16] -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [16]), + .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .lut_mask = 64'hA0A08080A0A08080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] ( +// Location: FF_X55_Y15_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 ( +// Location: LABCELL_X63_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~33_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .lut_mask = 64'h8A8A0202DFDF5757; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y8_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~29_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 ( +// Location: MLABCELL_X52_Y22_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [16]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .lut_mask = 64'h0000000005F505F5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .lut_mask = 64'h0F000F000FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] ( +// Location: FF_X63_Y18_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 ( +// Location: LABCELL_X57_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] ) ) # -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ) -// # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ) ) ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc +// [16] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .lut_mask = 64'hFF03FFFFFF57FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .lut_mask = 64'hAAFFAAFFAA00AA00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] ( +// Location: FF_X57_Y17_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector100~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~22_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder ( +// Location: MLABCELL_X52_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout = \neorv32_top_inst|rdata_v~6_combout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|rdata_v~6_combout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] ( +// Location: FF_X43_Y18_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 ( +// Location: LABCELL_X43_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [16]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .lut_mask = 64'h00F0AAFF00F0AA00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 ( +// Location: MLABCELL_X52_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b -// [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .lut_mask = 64'h0C083F2A59AE6ABF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y11_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE ( +// Location: FF_X43_Y18_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~9_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X77_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 ( +// Location: LABCELL_X43_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .lut_mask = 64'h00003030CFCFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y9_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE ( +// Location: FF_X43_Y18_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~9_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 ( +// Location: LABCELL_X43_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [16]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[16]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~34 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X72_Y7_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE ( +// Location: FF_X43_Y18_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 ( +// Location: LABCELL_X43_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout )))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[48]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~37_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[16]~DUPLICATE_q ), - .cin(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .lut_mask = 64'h0F220F220F770F77; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 ( +// Location: FF_X43_Y18_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [16]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~5_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~54 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .lut_mask = 64'hC0C08080C0008000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 ( +// Location: LABCELL_X42_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .lut_mask = 64'hAAAA000AAAAA222A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux15~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y10_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] ( +// Location: FF_X43_Y18_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22 ( +// Location: LABCELL_X43_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] & -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 +// )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [10]), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22 .lut_mask = 64'h330033FF3330333F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22 .shared_arith = "off"; -// synopsys translate_on - -// Location: M10K_X58_Y11_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26], -\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X76_Y11_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X43_Y17_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 ( +// Location: LABCELL_X43_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 +// )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .lut_mask = 64'h222205AF777705AF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y14_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] ( +// Location: FF_X43_Y17_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~29 ( +// Location: LABCELL_X43_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 ( // Equation(s): -// \neorv32_top_inst|rdata_v~29_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~29_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~29 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~29 .lut_mask = 64'hCCCC0000C0C00000; -defparam \neorv32_top_inst|rdata_v~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~30 ( +// Location: LABCELL_X51_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell ( // Equation(s): -// \neorv32_top_inst|rdata_v~30_combout = ( \neorv32_top_inst|rdata_v~29_combout & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ))) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 )) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ))) ) ) # ( !\neorv32_top_inst|rdata_v~29_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~29_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~30_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~30 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~30 .lut_mask = 64'hFFFFFFFF03570357; -defparam \neorv32_top_inst|rdata_v~30 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y12_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[10] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~30_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [10]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .lut_mask = 64'hCCCCCCCCCCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] ( +// Location: FF_X43_Y17_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [10]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0 ( +// Location: LABCELL_X43_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0 .lut_mask = 64'h0000000080000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 ( +// Location: LABCELL_X53_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .lut_mask = 64'h0000000000080008; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y6_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] ( +// Location: FF_X43_Y17_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[1] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X68_Y10_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 ( +// Location: LABCELL_X43_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] -// & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .lut_mask = 64'h880A880ADD5FDD5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y10_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~31_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 ( +// Location: LABCELL_X64_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y10_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] ( +// Location: FF_X43_Y17_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17]~8_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 ( +// Location: LABCELL_X43_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [17]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y19_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] ) + + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .lut_mask = 64'hCC005500CCFF55FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y10_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] ( +// Location: FF_X43_Y17_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~32_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 ( +// Location: LABCELL_X43_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout -// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [17]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .lut_mask = 64'h00000F0F00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y6_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add3~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [1]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 ( +// Location: MLABCELL_X52_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [17]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [17]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .lut_mask = 64'h0000000100100011; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .lut_mask = 64'hFF00FF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y6_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] ( +// Location: FF_X43_Y17_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [17]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 ( +// Location: LABCELL_X43_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [17]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [17]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X53_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .lut_mask = 64'h0000000400EA00EE; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .lut_mask = 64'hFF00FF00FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] ( +// Location: FF_X43_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 ( +// Location: LABCELL_X42_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17] -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [17]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .lut_mask = 64'h8888808088888080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11 .lut_mask = 64'h00000000FFFF1311; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 ( +// Location: MLABCELL_X52_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) ) ) ) -// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .lut_mask = 64'hFF37FF37FF37FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] ( +// Location: FF_X43_Y17_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector99~4_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y6_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 ( +// Location: LABCELL_X43_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .lut_mask = 64'h00003333AAAAB1B1; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y6_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] ( +// Location: FF_X43_Y17_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux68~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[31]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 ( +// Location: LABCELL_X42_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [17]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [17]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .lut_mask = 64'h00F000F00FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9 .lut_mask = 64'h00000000FFFF1131; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y9_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), - .prn(vcc)); +// Location: LABCELL_X42_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14 .lut_mask = 64'h0032003000320032; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 ( +// Location: LABCELL_X42_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [17]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .lut_mask = 64'hF5F5F5F5A0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12 .lut_mask = 64'h000000000000EFCC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] ( +// Location: LABCELL_X42_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13 .lut_mask = 64'h00000000FFFF1033; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y16_N14 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~14_combout ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder ( +// Location: LABCELL_X42_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~37_sumout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10 .lut_mask = 64'h000000000000FBAA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector50~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X42_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~1 ( +// Equation(s): +// \neorv32_top_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|Equal0~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|Equal0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~1 .lut_mask = 64'h01031133050F55FF; +defparam \neorv32_top_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder ( +// Location: LABCELL_X43_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout = ( \neorv32_top_inst|rdata_v~37_combout ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~37_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .lut_mask = 64'h00000000FFFF1131; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), - .prn(vcc)); +// Location: LABCELL_X43_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30 .lut_mask = 64'h000000000000FCDC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 ( +// Location: LABCELL_X43_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[17]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [17]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [17]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .lut_mask = 64'h55550000E4E4E4E4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28 .lut_mask = 64'h000000000000FDCC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y7_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~11_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X43_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29 .lut_mask = 64'h00000000FFFF1311; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X78_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 ( +// Location: LABCELL_X43_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .lut_mask = 64'h00003300CCFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .lut_mask = 64'h000000000000FF8A; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 ( +// Location: LABCELL_X43_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [17]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [17]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27 .lut_mask = 64'h00000000FFFF1131; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76 ( +// Location: LABCELL_X43_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout = ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout )))))) ) ) +// \neorv32_top_inst|Equal0~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [49]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~19_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~41_sumout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [17]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout ), + .combout(\neorv32_top_inst|Equal0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76 .lut_mask = 64'h0C2E0C2E1D3F1D3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~4 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~4 .lut_mask = 64'h0105030F115533FF; +defparam \neorv32_top_inst|Equal0~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 ( +// Location: LABCELL_X43_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[17]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[17]~76_combout ), +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .lut_mask = 64'h8880888088800000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20 .lut_mask = 64'h0055005000550010; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 ( +// Location: LABCELL_X43_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]))) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [17]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .lut_mask = 64'h505F404C737F9C93; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .lut_mask = 64'h5050505550505455; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 ( +// Location: LABCELL_X43_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~45_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .lut_mask = 64'hC0C0C4C4C0CCC4CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux14~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X68_Y10_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15 .lut_mask = 64'h00000000FFFF1131; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21 ( +// Location: LABCELL_X43_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21 .lut_mask = 64'h0F040F040FBF0FBF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 ( +// Location: LABCELL_X55_Y21_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .lut_mask = 64'h0000000050005000; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N43 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] ( +// Location: FF_X43_Y17_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[18] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X59_Y15_N26 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 ( +// Location: LABCELL_X43_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]))))) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [18]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .lut_mask = 64'h40704C7C43734F7F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y14_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19 .lut_mask = 64'h00000000FFFF1311; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~38 ( +// Location: LABCELL_X43_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 ( // Equation(s): -// \neorv32_top_inst|rdata_v~38_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] & ( -// (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~38_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~38 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~38 .lut_mask = 64'hA0A0808000000000; -defparam \neorv32_top_inst|rdata_v~38 .shared_arith = "off"; -// synopsys translate_on - -// Location: M10K_X49_Y11_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .lut_mask = 64'h000000000000FFA2; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~39 ( +// Location: LABCELL_X43_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~2 ( // Equation(s): -// \neorv32_top_inst|rdata_v~39_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( ((!\neorv32_top_inst|rdata_v~38_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~38_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 )) ) ) +// \neorv32_top_inst|Equal0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ), - .datad(!\neorv32_top_inst|rdata_v~38_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~20_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~15_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~19_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~39_combout ), + .combout(\neorv32_top_inst|Equal0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~39 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~39 .lut_mask = 64'hFF03FF03FF57FF57; -defparam \neorv32_top_inst|rdata_v~39 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y12_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~39_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[2] .power_up = "low"; +defparam \neorv32_top_inst|Equal0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~2 .lut_mask = 64'h01031133050F55FF; +defparam \neorv32_top_inst|Equal0~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y11_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X42_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ) ) -// Location: FF_X70_Y7_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), - .prn(vcc)); + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23 .lut_mask = 64'h00000000FFFF1303; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 ( +// Location: LABCELL_X42_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .lut_mask = 64'h035602ABCF9A8AEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22 .lut_mask = 64'h000000000000ECFC; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder ( +// Location: LABCELL_X42_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout = \neorv32_top_inst|rdata_v~39_combout +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & +// !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19] & +// !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|rdata_v~39_combout ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y12_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26 .lut_mask = 64'h0303030003030100; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 ( +// Location: LABCELL_X42_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18] & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [18]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [18]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .lut_mask = 64'h5D0D5D0D58085808; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24 .lut_mask = 64'h000000000000FABA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 ( +// Location: LABCELL_X42_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21] ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21 .lut_mask = 64'h00000000FFFF1511; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 ( +// Location: LABCELL_X42_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .lut_mask = 64'h0000FFFF0000F4B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25 .lut_mask = 64'h00000000FFFF1151; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X78_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 ( +// Location: LABCELL_X42_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|Equal0~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout )))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [18]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), + .combout(\neorv32_top_inst|Equal0~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .lut_mask = 64'h00003030CFCFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~3 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~3 .lut_mask = 64'h0103050F113355FF; +defparam \neorv32_top_inst|Equal0~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X77_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 ( +// Location: LABCELL_X40_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|Equal0~5_combout = ( \neorv32_top_inst|Equal0~2_combout & ( \neorv32_top_inst|Equal0~3_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (\neorv32_top_inst|Equal0~1_combout & +// (\neorv32_top_inst|Equal0~0_combout & \neorv32_top_inst|Equal0~4_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [18]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [50]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datab(!\neorv32_top_inst|Equal0~1_combout ), + .datac(!\neorv32_top_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|Equal0~4_combout ), + .datae(!\neorv32_top_inst|Equal0~2_combout ), + .dataf(!\neorv32_top_inst|Equal0~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), + .combout(\neorv32_top_inst|Equal0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .lut_mask = 64'h5500330055F033F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~5 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|Equal0~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 ( +// Location: LABCELL_X46_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout = ( \neorv32_top_inst|Equal0~5_combout & ( (\neorv32_top_inst|Equal0~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & \neorv32_top_inst|io_wren~1_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~45_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~1_combout ), + .dataa(!\neorv32_top_inst|Equal0~7_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|io_wren~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .lut_mask = 64'h0000050555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .lut_mask = 64'h0000000000040004; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 ( +// Location: FF_X47_Y15_N16 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[18]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~2_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .lut_mask = 64'hAA00A00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 ( +// Location: FF_X42_Y12_N58 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[1] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X42_Y12_N29 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[2]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~6_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .lut_mask = 64'hFF000500FF003700; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux13~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] ( +// Location: FF_X42_Y12_N49 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y12_N25 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [0]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] .power_up = "low"; +// synopsys translate_on + +// Location: M10K_X38_Y12_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 ( +// Location: LABCELL_X45_Y12_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|rdata_v~1_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), + .combout(\neorv32_top_inst|rdata_v~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .lut_mask = 64'h000B000BF4FFF4FF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~1 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~1 .lut_mask = 64'h000033330F0F3F3F; +defparam \neorv32_top_inst|rdata_v~1 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X58_Y9_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ), +// Location: M10K_X26_Y12_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), @@ -68701,4583 +80050,5021 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem .clr0(gnd), .clr1(gnd), .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~19 ( +// Location: MLABCELL_X39_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~19_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi +// [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0])))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~19_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~19 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~19 .lut_mask = 64'h00000F0F00FF0FFF; -defparam \neorv32_top_inst|rdata_v~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .lut_mask = 64'h0434C4F40737C7F7; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~70 ( +// Location: FF_X39_Y17_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~70_combout = ( \neorv32_top_inst|rdata_v~18_combout & ( \neorv32_top_inst|rdata_v~19_combout ) ) # ( !\neorv32_top_inst|rdata_v~18_combout ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & +// (((\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q )))) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & (((\neorv32_top_inst|ack_v~1_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q )) # +// (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & +// \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|rdata_v~19_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|rdata_v~18_combout ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|ack_v~1_combout ), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~70_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~70 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~70 .lut_mask = 64'hFFFF0F0FFFFF0F0F; -defparam \neorv32_top_inst|rdata_v~70 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .lut_mask = 64'h00F000F003F703F7; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y13_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[15] ( +// Location: FF_X45_Y14_N35 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~70_combout ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [15]), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y9_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] ( +// Location: FF_X45_Y14_N14 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [15]), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder ( +// Location: LABCELL_X45_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ) ) # ( +// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y7_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] ( +// Location: FF_X45_Y14_N31 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_type ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19]~DUPLICATE_q ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~1_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 ( +// Location: LABCELL_X42_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [19]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .lut_mask = 64'h050436CDF5C4C6FD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .lut_mask = 64'h0000000000000002; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder ( +// Location: MLABCELL_X39_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout = ( \neorv32_top_inst|rdata_v~41_combout ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ) ) .dataa(gnd), .datab(gnd), .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|io_rden~combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X40_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~41_combout ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] ( +// Location: FF_X40_Y15_N28 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 ( +// Location: LABCELL_X45_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]))))) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [19]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [19]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .lut_mask = 64'h7362736251405140; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] ( +// Location: FF_X45_Y15_N53 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~13_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 ( +// Location: LABCELL_X45_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19] ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [0]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .lut_mask = 64'h555511115555DDDD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .lut_mask = 64'h0101010145454545; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 ( +// Location: FF_X45_Y15_N14 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51] ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19] ) ) ) +// \neorv32_top_inst|rdata_v~0_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0] & +// !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~20_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [51]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ), + .combout(\neorv32_top_inst|rdata_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .lut_mask = 64'h0F0F550033335500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~0 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|rdata_v~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 ( +// Location: LABCELL_X45_Y12_N15 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 )) +// \neorv32_top_inst|rdata_v~2_combout = ( \neorv32_top_inst|rdata_v~0_combout & ( (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|rdata_v~1_combout )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0]) ) ) # ( !\neorv32_top_inst|rdata_v~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [19]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [19]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0]), + .datab(!\neorv32_top_inst|rdata_v~1_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datae(!\neorv32_top_inst|rdata_v~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), + .combout(\neorv32_top_inst|rdata_v~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .lut_mask = 64'h00000B4F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~2 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~2 .lut_mask = 64'hFFFF777FFFFF777F; +defparam \neorv32_top_inst|rdata_v~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 ( +// Location: FF_X43_Y12_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~2_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X45_Y12_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~2_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) # +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~21_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~49_sumout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .lut_mask = 64'h00330033003F003F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .lut_mask = 64'h0000F0F00F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 ( +// Location: LABCELL_X50_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .lut_mask = 64'hA080A08000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48 .lut_mask = 64'h3303330330003000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y7_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 ( +// Location: LABCELL_X50_Y13_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .lut_mask = 64'hF0F01010F0F010F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux12~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46 .lut_mask = 64'h0004000404040404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 ( +// Location: LABCELL_X50_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~46_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47 .lut_mask = 64'hAA08AA0800000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~2_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), - .prn(vcc)); +// Location: LABCELL_X50_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~48_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~47_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux62~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49 .lut_mask = 64'hAACC0FCFAACC00CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 ( +// Location: LABCELL_X50_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [20]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~49_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .lut_mask = 64'h2222222277777777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .lut_mask = 64'h00270027FF27FF27; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] ( +// Location: FF_X50_Y15_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~15_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[16]~50_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[21] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [19]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y9_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE ( +// Location: FF_X52_Y14_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~14_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~55_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 ( +// Location: MLABCELL_X52_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[18]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .lut_mask = 64'h0A0F0A0F5F0F5F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .lut_mask = 64'hC000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 ( +// Location: MLABCELL_X52_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~22_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [52]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [20]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .lut_mask = 64'h111B000ABB1BAA0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1 .lut_mask = 64'hFF0FFF0FFF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 ( +// Location: LABCELL_X46_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .lut_mask = 64'h00000D2F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .lut_mask = 64'h0000000008080808; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y17_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 ( +// Location: MLABCELL_X52_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[20]~23_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~53_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .lut_mask = 64'h000000000F3F0F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .lut_mask = 64'h0A000A0000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 ( +// Location: MLABCELL_X52_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[20]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .lut_mask = 64'h8888808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .lut_mask = 64'h000000000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] ( +// Location: FF_X50_Y18_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~43_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 ( +// Location: FF_X46_Y19_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[9] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20])) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [20]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [25]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .lut_mask = 64'h44444444FA50FA50; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .lut_mask = 64'h003300330F3F0F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 ( +// Location: LABCELL_X48_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b -// [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]))))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [20]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [25]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .lut_mask = 64'h00A854FC3C9E6DCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .lut_mask = 64'h0505050505FF05FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 ( +// Location: LABCELL_X48_Y19_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~4_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal77~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .lut_mask = 64'hA0A0A0F0B0B0B0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux11~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X68_Y10_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .lut_mask = 64'hFA00C80000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 ( +// Location: LABCELL_X55_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar +// [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [18]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux60~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .lut_mask = 64'h5533553355555533; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .lut_mask = 64'h80A280A2D5F7D5F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] ( +// Location: FF_X55_Y18_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~9_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[3]~3_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~89_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell ( +// Location: LABCELL_X55_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal79~0_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y14_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell ( +// Location: LABCELL_X48_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ))) ) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [21]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal76~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal73~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .lut_mask = 64'hFFFF111FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] ( +// Location: FF_X48_Y19_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector91~4_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[25] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3 ( +// Location: LABCELL_X56_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21])))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21])))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [21]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3 .lut_mask = 64'h1B1B1B1B0F1B0F1B; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .lut_mask = 64'h7351735162406240; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 ( +// Location: LABCELL_X63_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [19]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .lut_mask = 64'h0D2F0D2F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .lut_mask = 64'h00F500F50AFF0AFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~0 ( +// Location: LABCELL_X63_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 ( // Equation(s): -// \neorv32_top_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout -// & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ))))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ))))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ))))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[57]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~0 .lut_mask = 64'h0000000000001015; -defparam \neorv32_top_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .lut_mask = 64'h404C737F404C404C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X62_Y18_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[25]~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[25] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14 ( +// Location: LABCELL_X62_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [16]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14 .lut_mask = 64'h02030203DFCFDFCF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 ( +// Location: LABCELL_X62_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23])) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .lut_mask = 64'h02DF02DF03CF03CF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X68_Y9_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .lut_mask = 64'h0505050515151515; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13 ( +// Location: LABCELL_X60_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24])) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13 .lut_mask = 64'h1D0F1D0F1D1D1D1D; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .lut_mask = 64'hC8C8000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 ( +// Location: MLABCELL_X59_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [25]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .lut_mask = 64'h00CE00CE31FF31FF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .lut_mask = 64'h000EE0EE666DD6DD; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell ( +// Location: MLABCELL_X59_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~29_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .lut_mask = 64'h888A888A888AAAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] ( +// Location: FF_X64_Y16_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y9_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] ( +// Location: LABCELL_X64_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X64_Y16_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 ( +// Location: LABCELL_X64_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .lut_mask = 64'h0D2F0D2F0C3F0C3F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~2 ( -// Equation(s): -// \neorv32_top_inst|Equal0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X64_Y16_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~2 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|Equal0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~4 ( +// Location: MLABCELL_X59_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 ( // Equation(s): -// \neorv32_top_inst|Equal0~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc -// [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~4 .lut_mask = 64'h0000005533330055; -defparam \neorv32_top_inst|Equal0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .lut_mask = 64'h00050005AAAFAAAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y14_N39 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~5 ( -// Equation(s): -// \neorv32_top_inst|Equal0~5_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout & ( \neorv32_top_inst|Equal0~4_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ), - .dataf(!\neorv32_top_inst|Equal0~4_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~5_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X59_Y13_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~5 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|Equal0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden ( +// Location: MLABCELL_X59_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout = ( \neorv32_top_inst|Equal0~5_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & ( (!\neorv32_top_inst|io_rden~0_combout ) # -// ((!\neorv32_top_inst|Equal0~0_combout ) # ((!\neorv32_top_inst|Equal0~2_combout ) # (!\neorv32_top_inst|Equal0~1_combout ))) ) ) ) # ( !\neorv32_top_inst|Equal0~5_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout -// ) ) # ( \neorv32_top_inst|Equal0~5_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ) ) # ( !\neorv32_top_inst|Equal0~5_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) ) ) - .dataa(!\neorv32_top_inst|io_rden~0_combout ), - .datab(!\neorv32_top_inst|Equal0~0_combout ), - .datac(!\neorv32_top_inst|Equal0~2_combout ), - .datad(!\neorv32_top_inst|Equal0~1_combout ), - .datae(!\neorv32_top_inst|Equal0~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .lut_mask = 64'hFFFFFFFFFFFFFFFE; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] ( +// Location: FF_X59_Y13_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~24 ( +// Location: MLABCELL_X59_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 ( // Equation(s): -// \neorv32_top_inst|rdata_v~24_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [7]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [7]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~24 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~24 .lut_mask = 64'hA000A00000000000; -defparam \neorv32_top_inst|rdata_v~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout = ( \neorv32_top_inst|rdata_v~21_combout & ( \neorv32_top_inst|rdata_v~25_combout ) ) # ( !\neorv32_top_inst|rdata_v~21_combout & ( \neorv32_top_inst|rdata_v~25_combout & ( -// (!\neorv32_top_inst|rdata_v~20_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]) ) ) ) # ( \neorv32_top_inst|rdata_v~21_combout & ( !\neorv32_top_inst|rdata_v~25_combout & ( (!\neorv32_top_inst|rdata_v~24_combout ) # -// ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) ) ) ) # ( !\neorv32_top_inst|rdata_v~21_combout & ( !\neorv32_top_inst|rdata_v~25_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ((!\neorv32_top_inst|rdata_v~24_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|rdata_v~20_combout )))) ) ) ) - - .dataa(!\neorv32_top_inst|rdata_v~24_combout ), - .datab(!\neorv32_top_inst|rdata_v~20_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|data_o[7]~0_combout ), - .datae(!\neorv32_top_inst|rdata_v~21_combout ), - .dataf(!\neorv32_top_inst|rdata_v~25_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X59_Y13_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .lut_mask = 64'hACFCAFFFFCFCFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 ( +// Location: MLABCELL_X59_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout -// )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]) ) ) .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .lut_mask = 64'h08AA2AAA08002A00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .lut_mask = 64'h0A0A0A0A5F5F5F5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] ( +// Location: FF_X59_Y13_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 ( +// Location: FF_X59_Y13_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .lut_mask = 64'h7564756431203120; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .lut_mask = 64'h05050505AFAFAFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 ( +// Location: FF_X59_Y13_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X59_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b -// [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .lut_mask = 64'h50405F4C739C7F93; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .lut_mask = 64'h00AA55FF00AA55FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] ( +// Location: FF_X59_Y13_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[21]~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [20]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 ( +// Location: LABCELL_X63_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26])) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .lut_mask = 64'h3303330333F333F3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .lut_mask = 64'h00300030CFFFCFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 ( +// Location: LABCELL_X63_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q )) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [53]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~24_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .lut_mask = 64'h33000F0033AA0FAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .lut_mask = 64'h0300CFCC47444744; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 ( +// Location: LABCELL_X62_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [26]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~54 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 ( +// Location: LABCELL_X62_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[21]~25_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~57_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .lut_mask = 64'h03030303030F030F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .lut_mask = 64'h0000333303033333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 ( +// Location: MLABCELL_X59_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .lut_mask = 64'hC0C0808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .lut_mask = 64'h8880888000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 ( +// Location: LABCELL_X57_Y12_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~4_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .lut_mask = 64'hAAAAAAAA020202AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux10~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .lut_mask = 64'h11101FE1BBB0BF4B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28 ( +// Location: MLABCELL_X47_Y12_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout = \neorv32_top_inst|rdata_v~38_combout .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~38_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28 .lut_mask = 64'h00330033CCFFCCFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[5]~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] ( +// Location: FF_X47_Y12_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26 ( +// Location: MLABCELL_X59_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26 .lut_mask = 64'h4545444475757777; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .lut_mask = 64'h7564756431203120; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 ( +// Location: MLABCELL_X59_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~25_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .lut_mask = 64'h0010000000000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .lut_mask = 64'hF0F50000F3F70000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 ( +// Location: LABCELL_X57_Y19_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|io_rden~combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .lut_mask = 64'h0000000005050505; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .lut_mask = 64'h4444444477777777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 ( +// Location: FF_X57_Y19_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .power_up = "low"; +// synopsys translate_on + +// Location: M10K_X41_Y24_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X42_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # -// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) # (!\neorv32_top_inst|io_rden~combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) # (!\neorv32_top_inst|io_rden~combout )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) # (!\neorv32_top_inst|io_rden~combout )) ) ) ) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|io_rden~combout ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .lut_mask = 64'h0000FFFA3332FFFA; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y15_N25 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22 .lut_mask = 64'h0000000000080000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y15_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 ( +// Location: LABCELL_X43_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ) ) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .lut_mask = 64'h0000000055555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1 .lut_mask = 64'h0000000040004000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X41_Y18_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X40_Y16_N7 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y15_N22 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] ( +// Location: FF_X40_Y17_N49 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 ( +// Location: LABCELL_X40_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [24]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .lut_mask = 64'h082A4C6E193B5D7F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .lut_mask = 64'h0000505000005F5F; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y14_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] ( +// Location: FF_X40_Y13_N40 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~27_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y15_N36 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~58 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~58_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30] ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30]), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~58_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~58 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~58 .lut_mask = 64'hFF00CC0000000000; -defparam \neorv32_top_inst|rdata_v~58 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X56_Y13_N14 -dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden ( +// Location: FF_X45_Y17_N52 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ), - .asdata(vcc), - .clrn(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~59 ( +// Location: MLABCELL_X39_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 ( // Equation(s): -// \neorv32_top_inst|rdata_v~59_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) # ( -// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( (!\neorv32_top_inst|rdata_v~58_combout ) # -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 )) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( (!\neorv32_top_inst|rdata_v~58_combout ) # -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( (!\neorv32_top_inst|rdata_v~58_combout ) # -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 )) ) ) ) - - .dataa(!\neorv32_top_inst|rdata_v~58_combout ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~59_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~59 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~59 .lut_mask = 64'hABABABABABABFFFF; -defparam \neorv32_top_inst|rdata_v~59 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .lut_mask = 64'h01C131F10DCD3DFD; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[14] ( +// Location: FF_X39_Y15_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~59_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~13_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [14]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] ( +// Location: FF_X47_Y15_N8 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [14]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal99~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y12_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1 .lut_mask = 64'h0000080000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0 ( +// Location: LABCELL_X40_Y12_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])))) +// \neorv32_top_inst|rdata_v~33_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24] & +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal69~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(gnd), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 ), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [24]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24]), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), + .combout(\neorv32_top_inst|rdata_v~33_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0 .lut_mask = 64'h5450545054505450; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~33 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~33 .lut_mask = 64'hC8000000C8000000; +defparam \neorv32_top_inst|rdata_v~33 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 ( +// Location: LABCELL_X46_Y12_N9 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~34 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|rdata_v~34_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|rdata_v~33_combout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|rdata_v~33_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|rdata_v~33_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|rdata_v~33_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal70~0_combout ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~33_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), + .combout(\neorv32_top_inst|rdata_v~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .lut_mask = 64'h0000000000000505; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~34 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~34 .lut_mask = 64'hFFFFFFFF05053737; +defparam \neorv32_top_inst|rdata_v~34 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y7_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 ( +// Location: MLABCELL_X47_Y12_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & ( -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder_combout = ( \neorv32_top_inst|rdata_v~34_combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [22]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .lut_mask = 64'hCF00CFFF030003FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y7_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~11_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE ( +// Location: FF_X47_Y12_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~12_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [22]), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[22]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .lut_mask = 64'h000000001111BBBB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder ( +// Location: LABCELL_X46_Y12_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout = ( \neorv32_top_inst|rdata_v~34_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), + .dataf(!\neorv32_top_inst|rdata_v~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y5_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] ( +// Location: FF_X46_Y12_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[6] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y5_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [22]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[22] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y5_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [22]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .lut_mask = 64'h0000000101000101; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y5_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux63~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 ( +// Location: MLABCELL_X47_Y12_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]))) -// ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [22]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][8]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][8]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .lut_mask = 64'h0000010054445544; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y5_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 ( +// Location: LABCELL_X50_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .lut_mask = 64'hF0F0A0A000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y5_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 ( +// Location: LABCELL_X48_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout & ( -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout -// & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ) ) ) ) -// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .lut_mask = 64'hFFFFFFFF05FF37FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86 .lut_mask = 64'h0101010100030003; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y5_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] ( +// Location: FF_X46_Y13_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector94~4_combout ), + .d(\neorv32_top_inst|rdata_v~40_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder ( +// Location: FF_X46_Y13_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~40_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout = ( \neorv32_top_inst|rdata_v~17_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][11]~q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][11]~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~17_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7 .lut_mask = 64'h55550F0F55550F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] ( +// Location: FF_X45_Y13_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [22]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y13_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~42_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .lut_mask = 64'h0F000F00ACACACAC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 ( +// Location: MLABCELL_X47_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .lut_mask = 64'h0504F5C437C9F739; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85 .lut_mask = 64'h038B038B47CF47CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 ( +// Location: LABCELL_X48_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .lut_mask = 64'h5505550555F555F5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .lut_mask = 64'h0347034703030303; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 ( +// Location: LABCELL_X48_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [54]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~5_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .lut_mask = 64'h444400CC747430FC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X73_Y8_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [22]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~58 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4 .lut_mask = 64'h10DC10DC13DF13DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 ( +// Location: LABCELL_X48_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[22]~6_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~5_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .lut_mask = 64'h0033003303330333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0 .lut_mask = 64'h550F0033550FFF33; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 ( +// Location: LABCELL_X48_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux26~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .lut_mask = 64'h8880888000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87 .lut_mask = 64'h00CC00CC0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 ( +// Location: LABCELL_X48_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~81_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~86_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~85_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~87_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .lut_mask = 64'hA0A2A0A2A0A2AAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux9~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y9_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88 .lut_mask = 64'h22772277A2F7A2F7; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE ( +// Location: FF_X48_Y15_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~88_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 ( +// Location: LABCELL_X56_Y20_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31])))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .lut_mask = 64'h0A1B0A1B0A1B0A1B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] ( +// Location: FF_X56_Y20_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X57_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .lut_mask = 64'h030257A9CF8ADF65; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 ( +// Location: MLABCELL_X47_Y14_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]))) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout = \neorv32_top_inst|rdata_v~42_combout - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|rdata_v~42_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), + .datac(gnd), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .lut_mask = 64'h0A5F0A5F0A5F0A5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] ( +// Location: FF_X47_Y14_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~31_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 ( +// Location: LABCELL_X56_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .lut_mask = 64'h5050EEEE50504444; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~30_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), - .prn(vcc)); +// Location: LABCELL_X62_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 ( +// Location: LABCELL_X62_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .lut_mask = 64'h0F0F0F0F550F550F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), - .prn(vcc)); +// Location: LABCELL_X63_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [59]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .lut_mask = 64'h000CFF0C550C550C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 ( +// Location: LABCELL_X62_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [28]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .lut_mask = 64'h0011001155555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~7_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), - .prn(vcc)); +// Location: LABCELL_X56_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .lut_mask = 64'h8888808000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 ( +// Location: LABCELL_X56_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~21_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .lut_mask = 64'hCCCC0404CCCC04CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] ( +// Location: FF_X57_Y16_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~6_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[7] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 ( +// Location: LABCELL_X42_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41 .lut_mask = 64'h0F0F00000F0FFFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~5_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), +// Location: M10K_X38_Y20_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 ( +// Location: M10K_X41_Y19_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [23]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .lut_mask = 64'h0A0A0A0A5F5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y9_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 ( +// Location: LABCELL_X42_Y12_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout = !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]))) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [24]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [22]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .lut_mask = 64'h05050505AFAFAFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .lut_mask = 64'h6996699669966996; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE ( +// Location: FF_X47_Y15_N14 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~3_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X73_Y10_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~2_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X42_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]) ) ) ) +// # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] $ +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]) ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .lut_mask = 64'h33CCCC33CC3333CC; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 ( +// Location: LABCELL_X42_Y12_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8] = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23] & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout )))) ) ) +// # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .lut_mask = 64'h00005050AFAFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .lut_mask = 64'h1221122121122112; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y8_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE ( +// Location: FF_X42_Y12_N52 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~2_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8]), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X77_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~7_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[55]~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X43_Y12_N58 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [8]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .lut_mask = 64'h1B0A11001B0ABBAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[23]~DUPLICATE_q ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~6 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), - .shareout()); +// Location: FF_X47_Y16_N5 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[23]~8_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~9_sumout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y13_N4 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .lut_mask = 64'h00000F0F03030F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 ( +// Location: LABCELL_X40_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]) ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[23]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [28]), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [28]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .lut_mask = 64'hCC00C00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .lut_mask = 64'h00000000000FF0FF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 ( +// Location: FF_X40_Y13_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~25_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[28] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [23]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [28]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .lut_mask = 64'h0504AF8C37C9BF63; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .lut_mask = 64'h0C3F0C3F44447777; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] ( +// Location: FF_X40_Y14_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~26_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~25_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 ( +// Location: LABCELL_X42_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~62 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [23]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])))) ) ) +// \neorv32_top_inst|rdata_v~62_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [23]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [23]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [28]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ), + .combout(\neorv32_top_inst|rdata_v~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .lut_mask = 64'h3E323E320E020E02; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~62 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~62 .lut_mask = 64'hAA000000A0000000; +defparam \neorv32_top_inst|rdata_v~62 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 ( +// Location: LABCELL_X46_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~63 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])))) ) ) ) +// \neorv32_top_inst|rdata_v~63_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & ( \neorv32_top_inst|rdata_v~62_combout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & ( \neorv32_top_inst|rdata_v~62_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & ( !\neorv32_top_inst|rdata_v~62_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout & ( !\neorv32_top_inst|rdata_v~62_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~4_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~77_sumout ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~62_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2_combout ), + .combout(\neorv32_top_inst|rdata_v~63_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .lut_mask = 64'hA0B0A0B0A0B0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux8~2 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~63 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~63 .lut_mask = 64'hFFFFFFFF00550F5F; +defparam \neorv32_top_inst|rdata_v~63 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder ( +// Location: LABCELL_X46_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout = ( \neorv32_top_inst|rdata_v~63_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .dataf(!\neorv32_top_inst|rdata_v~63_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] ( +// Location: FF_X46_Y15_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N58 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] ( +// Location: FF_X48_Y15_N19 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~63_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [19]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [19]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X43_Y13_N10 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rdata_v~61_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .lut_mask = 64'h404C434F707C737F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y13_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] ( +// Location: FF_X43_Y13_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~18_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~61_combout ), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~40 ( +// Location: LABCELL_X43_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15 ( // Equation(s): -// \neorv32_top_inst|rdata_v~40_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 & ( -// (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 & ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q +// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][12]~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [19]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a19 ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][12]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~40_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~40 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~40 .lut_mask = 64'hAAAA0000A0A00000; -defparam \neorv32_top_inst|rdata_v~40 .shared_arith = "off"; -// synopsys translate_on - -// Location: M10K_X49_Y12_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~41 ( +// Location: LABCELL_X48_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95 ( // Equation(s): -// \neorv32_top_inst|rdata_v~41_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( ((!\neorv32_top_inst|rdata_v~40_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 & ( (!\neorv32_top_inst|rdata_v~40_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|rdata_v~40_combout ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a3 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~41_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~41 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~41 .lut_mask = 64'hF0F5F0F5F3F7F3F7; -defparam \neorv32_top_inst|rdata_v~41 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y12_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~41_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[3] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y11_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95 .lut_mask = 64'h220A220A775F775F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0 ( +// Location: LABCELL_X50_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [18] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0 .lut_mask = 64'hA000000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .lut_mask = 64'h00FF00FF0A5F0A5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1 ( +// Location: LABCELL_X50_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ))))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~82_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1 .lut_mask = 64'h0333033333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .lut_mask = 64'h4703550344005503; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 ( +// Location: LABCELL_X48_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~95_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux79~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .lut_mask = 64'h0D0C0D0C00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96 .lut_mask = 64'h050505058D8D8D8D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y10_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we ( +// Location: FF_X48_Y17_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|we~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~96_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 ( +// Location: LABCELL_X51_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .lut_mask = 64'hF0F0F0F0F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] ( +// Location: FF_X51_Y16_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24]~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 ( +// Location: LABCELL_X57_Y12_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .lut_mask = 64'h111F10E1BBBFB04B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .shared_arith = "off"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [24]), +// Location: MLABCELL_X47_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout = \neorv32_top_inst|rdata_v~63_combout + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~63_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .lut_mask = 64'h80A280A2D5F7D5F7; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] ( +// Location: FF_X47_Y14_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~18_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 ( +// Location: LABCELL_X53_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .lut_mask = 64'hA0A02222F5F57777; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .lut_mask = 64'h00F000F0AAFFAA00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y8_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] ( +// Location: FF_X59_Y13_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~17_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[16]~3_combout ), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X62_Y14_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X64_Y14_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~7_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~90_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 ( +// Location: LABCELL_X62_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & -// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28] ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [24]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal75~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtval [24]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_raddr[1]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .lut_mask = 64'h00330033000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .lut_mask = 64'h0F0F0F0F05AF05AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y8_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 ( +// Location: LABCELL_X62_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[28]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .lut_mask = 64'h0F000FCC550055CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y8_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24]~3_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[31]~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), - .prn(vcc)); +// Location: LABCELL_X62_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[28]~DUPLICATE_q ), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .lut_mask = 64'h0000FFFF0000F4B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y5_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add5~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.instret [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[14]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), - .prn(vcc)); +// Location: LABCELL_X62_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .lut_mask = 64'h0505050515151515; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y5_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 ( +// Location: LABCELL_X56_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] -// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstret [24]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.minstreth [24]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .lut_mask = 64'h8880888000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X55_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .lut_mask = 64'h0000000000020103; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .lut_mask = 64'hFF000500FF003700; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y5_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] ( +// Location: FF_X57_Y16_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add4~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.wdata [24]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we.cycle [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[31]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y5_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 ( +// Location: LABCELL_X42_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ))) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycleh [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.re~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mcycle [24]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~2_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .lut_mask = 64'h0000000000FA02F2; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39 .lut_mask = 64'h333300003333FFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y6_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 ( +// Location: M10K_X49_Y20_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y22_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]))) -// ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal254~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal74~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mscratch [24]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~1_combout ), +// \neorv32_top_inst|rdata_v~19_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ) # (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout +// & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout & ( (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a31 ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a7~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), + .combout(\neorv32_top_inst|rdata_v~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .lut_mask = 64'h8A8A000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~19 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~19 .lut_mask = 64'hFFAAF0A0CC88C080; +defparam \neorv32_top_inst|rdata_v~19 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder ( +// Location: M10K_X41_Y6_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y9_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_first_bit_number = 7; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~51 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ) +// \neorv32_top_inst|rdata_v~51_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout ) ) ) - .dataa(gnd), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux61~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a7~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y7_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8]~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[8] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~51 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~51 .lut_mask = 64'h0055005533773377; +defparam \neorv32_top_inst|rdata_v~51 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 ( +// Location: LABCELL_X46_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ) ) ) ) -// # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ) ) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout = ( \neorv32_top_inst|rdata_v~51_combout & ( (!\neorv32_top_inst|rdata_v~19_combout ) # ((!\neorv32_top_inst|rdata_v~18_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0])) ) ) # ( !\neorv32_top_inst|rdata_v~51_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & (((!\neorv32_top_inst|rdata_v~50_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0] & ((!\neorv32_top_inst|rdata_v~19_combout ) # ((!\neorv32_top_inst|rdata_v~18_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal71~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq [8]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal72~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [24]), + .dataa(!\neorv32_top_inst|rdata_v~19_combout ), + .datab(!\neorv32_top_inst|rdata_v~18_combout ), + .datac(!\neorv32_top_inst|rdata_v~50_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~51_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .lut_mask = 64'hF5F7F5F7F5F7FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y8_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector92~4_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .lut_mask = 64'hF0EEF0EEFFEEFFEE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder ( +// Location: LABCELL_X46_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout = \neorv32_top_inst|rdata_v~10_combout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|rdata_v~10_combout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux21~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .lut_mask = 64'h5700DF0002008A00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] ( +// Location: FF_X45_Y13_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ), .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), .clrn(vcc), .aload(gnd), @@ -73286,4239 +85073,3884 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 ( +// Location: LABCELL_X55_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]) ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [24]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [24]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .lut_mask = 64'h3300F3F33300C0C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .lut_mask = 64'h30FF303330CC3000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 ( +// Location: LABCELL_X57_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [24]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl +// [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o +// [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .lut_mask = 64'h005AC89E326BFAAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~4_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .lut_mask = 64'h05365071C9FAD4F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE ( +// Location: FF_X64_Y14_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~29_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 ( +// Location: LABCELL_X62_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE_q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[29]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .lut_mask = 64'h00005050AFAFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .lut_mask = 64'h0F0F0F0F05AF05AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 ( +// Location: LABCELL_X62_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]))))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]))))) ) ) ) +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[24]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [56]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~9_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .lut_mask = 64'h50305F3F50305030; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .lut_mask = 64'h0044FF440F440F44; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 ( +// Location: LABCELL_X62_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [24]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [29]), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~10 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .lut_mask = 64'h00000B4F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 ( +// Location: LABCELL_X62_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ) # +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ) # // (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ) ) ) +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[24]~10_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~13_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .lut_mask = 64'h000F000F030F030F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .lut_mask = 64'h0055005511551155; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 ( +// Location: MLABCELL_X59_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[24]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .lut_mask = 64'hC080C08000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .lut_mask = 64'hA0A0808000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 ( +// Location: LABCELL_X57_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~65_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .lut_mask = 64'hCCCC000CCCCC444C; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux7~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .lut_mask = 64'hF0F0F0F000503070; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 ( +// Location: LABCELL_X57_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [25]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .lut_mask = 64'h03565701CF9ADF45; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .lut_mask = 64'h00330033CCFFCCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[1]~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder ( +// Location: LABCELL_X43_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout = ( \neorv32_top_inst|rdata_v~28_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~28_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .lut_mask = 64'hAFAFAFAF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] ( +// Location: FF_X43_Y14_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[25] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X67_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [25]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [25]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .lut_mask = 64'h7362736251405140; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y7_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 ( +// Location: LABCELL_X43_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|io_wren~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) +// \neorv32_top_inst|io_wren~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .lut_mask = 64'h00000A0AF5F5FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11 .shared_arith = "off"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [57]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~11_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ), + .combout(\neorv32_top_inst|io_wren~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .lut_mask = 64'h030300AACFCF00AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X73_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [25]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~14 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17 .shared_arith = "off"; +defparam \neorv32_top_inst|io_wren~0 .extended_lut = "off"; +defparam \neorv32_top_inst|io_wren~0 .lut_mask = 64'h00000000000F000F; +defparam \neorv32_top_inst|io_wren~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 ( +// Location: LABCELL_X42_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|io_wren~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) +// \neorv32_top_inst|io_wren~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q & (\neorv32_top_inst|io_wren~0_combout & ((\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q & (\neorv32_top_inst|io_wren~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q & +// (\neorv32_top_inst|io_wren~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q & (\neorv32_top_inst|io_wren~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout +// )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[25]~12_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~17_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|io_wren~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), + .combout(\neorv32_top_inst|io_wren~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .lut_mask = 64'h0000333303033333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0 .shared_arith = "off"; +defparam \neorv32_top_inst|io_wren~1 .extended_lut = "off"; +defparam \neorv32_top_inst|io_wren~1 .lut_mask = 64'h0101010101010111; +defparam \neorv32_top_inst|io_wren~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 ( +// Location: LABCELL_X43_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|io_wren ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) ) ) -// ) +// \neorv32_top_inst|io_wren~combout = ( \neorv32_top_inst|Equal0~7_combout & ( \neorv32_top_inst|Equal0~11_combout & ( (\neorv32_top_inst|io_wren~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// (\neorv32_top_inst|Equal0~1_combout & \neorv32_top_inst|Equal0~0_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [25]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~0_combout ), + .dataa(!\neorv32_top_inst|io_wren~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|Equal0~1_combout ), + .datad(!\neorv32_top_inst|Equal0~0_combout ), + .datae(!\neorv32_top_inst|Equal0~7_combout ), + .dataf(!\neorv32_top_inst|Equal0~11_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ), + .combout(\neorv32_top_inst|io_wren~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .lut_mask = 64'hF000A00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4 .shared_arith = "off"; +defparam \neorv32_top_inst|io_wren .extended_lut = "off"; +defparam \neorv32_top_inst|io_wren .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|io_wren .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 ( +// Location: MLABCELL_X39_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ) ) ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout = ( !\neorv32_top_inst|io_rden~combout & ( !\neorv32_top_inst|io_wren~combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~73_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|io_wren~combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .lut_mask = 64'hFF000300FF005700; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux6~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .lut_mask = 64'hFF00FF0000000000; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 ( +// Location: MLABCELL_X39_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .lut_mask = 64'hFF0FFF0FF000F000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .lut_mask = 64'h3333333300000000; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y9_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] ( +// Location: FF_X39_Y16_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~15_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 ( +// Location: FF_X39_Y16_N23 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|ack_o ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [26]), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .lut_mask = 64'h0000000000400040; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y8_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] ( +// Location: FF_X39_Y14_N47 +dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector41~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), + .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder ( +// Location: MLABCELL_X39_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout = ( \neorv32_top_inst|rdata_v~30_combout ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout = ( \neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|io_wren~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ) ) ) # ( +// !\neorv32_top_inst|io_rden~combout & ( \neorv32_top_inst|io_wren~combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ) ) ) ) # ( +// \neorv32_top_inst|io_rden~combout & ( !\neorv32_top_inst|io_wren~combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|wren~0_combout ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~30_combout ), + .datae(!\neorv32_top_inst|io_rden~combout ), + .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .lut_mask = 64'h00000F0F05050F0F; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] ( +// Location: FF_X39_Y14_N53 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 ( +// Location: MLABCELL_X39_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26])))) ) ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [26]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [26]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|Equal0~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [26]), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .lut_mask = 64'h7654765432103210; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X74_Y7_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE ( +// Location: FF_X39_Y16_N34 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: FF_X75_Y8_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ), - .prn(vcc)); +// Location: MLABCELL_X39_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .lut_mask = 64'h0040004000000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y10_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE ( +// Location: FF_X39_Y14_N2 +dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div~5_combout ), + .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 ( +// Location: MLABCELL_X39_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|ack_v~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) +// \neorv32_top_inst|ack_v~0_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( +// (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q & !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout ), + .combout(\neorv32_top_inst|ack_v~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .lut_mask = 64'h05000500F5FFF5FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13 .shared_arith = "off"; +defparam \neorv32_top_inst|ack_v~0 .extended_lut = "off"; +defparam \neorv32_top_inst|ack_v~0 .lut_mask = 64'hC000000000000000; +defparam \neorv32_top_inst|ack_v~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 ( +// Location: MLABCELL_X47_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|ack_v~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[26]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[58]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~13_combout ), +// \neorv32_top_inst|ack_v~1_combout = ( \neorv32_top_inst|ack_v~0_combout & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q & (!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q )) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), + .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|ack_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout ), + .combout(\neorv32_top_inst|ack_v~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .lut_mask = 64'h550F0000550FCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14 .shared_arith = "off"; +defparam \neorv32_top_inst|ack_v~1 .extended_lut = "off"; +defparam \neorv32_top_inst|ack_v~1 .lut_mask = 64'h0000000088008800; +defparam \neorv32_top_inst|ack_v~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 ( +// Location: MLABCELL_X47_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 )) +// \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout = ( !\neorv32_top_inst|ack_v~1_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[26]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|ack_v~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .lut_mask = 64'h00000D2F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .lut_mask = 64'h00FF00FF00000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 ( +// Location: FF_X42_Y14_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ) # +// ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q )) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[26]~14_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~21_sumout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [38]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .lut_mask = 64'h000000FF003300FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .lut_mask = 64'h00C000C0FFC0FFC0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 ( +// Location: FF_X47_Y16_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]))) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [26]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .lut_mask = 64'hC0C0808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .lut_mask = 64'h00AA00AA02AA02AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 ( +// Location: FF_X46_Y18_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~9_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [26]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [26]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf +// [8])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [6]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [9]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [8]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .lut_mask = 64'h0054A8FC3C79B6F3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 ( +// Location: LABCELL_X46_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .lut_mask = 64'hC0C0C0CCC4C4C4CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux5~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .lut_mask = 64'h00000000FFFF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 ( +// Location: MLABCELL_X47_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .lut_mask = 64'h11111111DDDDDDDD; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[4]~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .lut_mask = 64'h00500050FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] ( +// Location: FF_X47_Y16_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24 ( +// Location: LABCELL_X66_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.busy~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.state.S_DONE~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|tmp_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24 .lut_mask = 64'h4545444475757777; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .lut_mask = 64'hF0F00000A0A00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 ( +// Location: MLABCELL_X47_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # +// ((\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .lut_mask = 64'h04C434F407C737F7; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y14_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~14_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .lut_mask = 64'h8ADF8ADF02570257; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~31 ( +// Location: MLABCELL_X47_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~31_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 & ( (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~31_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~31 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~31 .lut_mask = 64'hF0F0F0F0C0C0C0C0; -defparam \neorv32_top_inst|rdata_v~31 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .lut_mask = 64'h80008000FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X69_Y9_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); +// Location: MLABCELL_X47_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .lut_mask = 64'hC4F5C4F544554455; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~32 ( +// Location: LABCELL_X50_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 ( // Equation(s): -// \neorv32_top_inst|rdata_v~32_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout & ( ((!\neorv32_top_inst|rdata_v~31_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~31_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ), - .datad(!\neorv32_top_inst|rdata_v~31_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~32_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~32 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~32 .lut_mask = 64'hFF05FF05FF37FF37; -defparam \neorv32_top_inst|rdata_v~32 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .lut_mask = 64'h000C000C030F030F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[11] ( +// Location: FF_X50_Y19_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~32_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ), .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [11]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[11] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X60_Y9_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y7_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder ( +// Location: LABCELL_X42_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y7_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] ( +// Location: FF_X42_Y14_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[38]~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[27] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [27]))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .lut_mask = 64'h1110BBB01FE1BF4B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder ( +// Location: LABCELL_X42_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout = ( \neorv32_top_inst|rdata_v~32_combout ) +// \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~32_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X60_Y12_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .lut_mask = 64'h00000000AAAAAAAA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y7_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 ( +// Location: LABCELL_X42_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]))) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & +// (((\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ))) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( ((\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .lut_mask = 64'h00CCAAF000CCAAF0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .lut_mask = 64'h3737373700370037; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X75_Y8_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE ( +// Location: FF_X42_Y14_N11 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~6_combout ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[29]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X73_Y12_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] ( +// Location: FF_X42_Y14_N10 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[27]~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [26]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 ( +// Location: LABCELL_X42_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .lut_mask = 64'h0C0F0C0F3F0F3F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .lut_mask = 64'hAA00AA000A000A00; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 ( +// Location: LABCELL_X42_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27])) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q & +// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [27]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[59]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~15_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .lut_mask = 64'h00C030F00ACA3AFA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X73_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27])))) ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [27]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~22 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .lut_mask = 64'h3030303030F030F0; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 ( +// Location: LABCELL_X42_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[27]~16_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~25_sumout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .lut_mask = 64'h0055005505550555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .lut_mask = 64'hFF5FFF5FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 ( +// Location: FF_X42_Y14_N14 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X42_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [27]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .lut_mask = 64'hA0A0808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .lut_mask = 64'h00C000C0AAEAAAEA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 ( +// Location: LABCELL_X42_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ) # +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout & +// (((!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q )))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~41_sumout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .lut_mask = 64'hFF001100FF001F00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux4~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .lut_mask = 64'hEE4EEE4EEEEEEEEE; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] ( +// Location: FF_X42_Y14_N31 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0 ( +// Location: LABCELL_X42_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3])) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0 .lut_mask = 64'h0F550F550F0F0F55; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .lut_mask = 64'hCCFCCCFC00000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 ( +// Location: LABCELL_X42_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & -// ( ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q & -// ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [28]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [28]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[28]~DUPLICATE_q ), +// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout & ( +// \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .lut_mask = 64'h407043734C7C4F7F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .lut_mask = 64'h5555555555F555F5; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N50 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] ( +// Location: FF_X42_Y14_N16 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y14_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder ( +// Location: LABCELL_X42_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y15_N32 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[8]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .lut_mask = 64'h00000000AAAAAAAA; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 ( +// Location: LABCELL_X42_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1])) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg -// [3] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg -// [1])) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout & +// (((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .lut_mask = 64'h6969969669699696; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .lut_mask = 64'h2A7F2A7F007F007F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N26 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] ( +// Location: FF_X42_Y14_N8 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 ( +// Location: LABCELL_X42_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7])) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg -// [8] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7])) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q & ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [8]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .lut_mask = 64'h6969696996969696; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .lut_mask = 64'h0000000033333333; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] ( +// Location: LABCELL_X42_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8] = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout )))) ) ) -// # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout )))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|wdata~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8]), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .lut_mask = 64'h1221122121122112; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata[8] .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .lut_mask = 64'hFF00FF00FC00FC00; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.wdata [8]), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), +// Location: LABCELL_X43_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22 ( +// Equation(s): +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1] & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [1]), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22 .lut_mask = 64'h0000000000004000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X49_Y17_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [8]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: FF_X55_Y14_N52 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [8]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), +// Location: M10K_X49_Y15_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~33 ( +// Location: LABCELL_X46_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~33_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 )) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28]) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [28]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [28]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a28 ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~33_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~33 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~33 .lut_mask = 64'hC0C0C0C0C000C000; -defparam \neorv32_top_inst|rdata_v~33 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X60_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~34 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~34_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( ((!\neorv32_top_inst|rdata_v~33_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 & ( (!\neorv32_top_inst|rdata_v~33_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4~portbdataout ), - .datad(!\neorv32_top_inst|rdata_v~33_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a4 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~34_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~34 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~34 .lut_mask = 64'hFF05FF05FF37FF37; -defparam \neorv32_top_inst|rdata_v~34 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[12] ( +// Location: FF_X46_Y16_N37 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~34_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [12]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[8] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y9_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] ( +// Location: FF_X42_Y17_N40 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [12]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder ( +// Location: LABCELL_X37_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [8]), .datab(gnd), .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [8]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .lut_mask = 64'h00000000550055FF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] ( +// Location: FF_X37_Y16_N52 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~12_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 ( +// Location: MLABCELL_X39_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [28]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & +// ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] & ((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [8]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [8]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .lut_mask = 64'h555033305FA53FC3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .lut_mask = 64'h03440377CF44CF77; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout = ( \neorv32_top_inst|rdata_v~34_combout ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~34_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X39_Y18_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[8] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y12_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] ( +// Location: FF_X40_Y12_N26 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [8]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 ( +// Location: LABCELL_X40_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) -// ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]) ) ) ) +// \neorv32_top_inst|rdata_v~31_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8~portadataout & ( +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8~portadataout & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [28]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [28]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [1]), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [8]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [8]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [8]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a8~portadataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ), + .combout(\neorv32_top_inst|rdata_v~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .lut_mask = 64'h0C0C0C0CFAFA0A0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~31 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~31 .lut_mask = 64'hC0C0000080800000; +defparam \neorv32_top_inst|rdata_v~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y9_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE ( +// Location: LABCELL_X46_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~32 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~32_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( \neorv32_top_inst|rdata_v~31_combout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( +// \neorv32_top_inst|rdata_v~31_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ) ) ) ) # +// ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|rdata_v~31_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|rdata_v~31_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~31_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~32_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~32 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~32 .lut_mask = 64'hFFFFFFFF05053737; +defparam \neorv32_top_inst|rdata_v~32 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X50_Y13_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~8_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~32_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 ( +// Location: FF_X50_Y13_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~32_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X50_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][8]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][8]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .lut_mask = 64'h00AF00AF50FF50FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 ( +// Location: LABCELL_X50_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~17_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [60]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [28]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .lut_mask = 64'h03550300CF55CF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X73_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [28]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~26 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .lut_mask = 64'h00000D2F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 ( +// Location: LABCELL_X50_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[28]~18_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~29_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .lut_mask = 64'h000F000F030F030F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .lut_mask = 64'hA8B8A8B8A0B0A0B0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 ( +// Location: LABCELL_X48_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[28]~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .lut_mask = 64'hC080C08000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 ( +// Location: LABCELL_X50_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~1_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~73_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .lut_mask = 64'hF0F00050F0F03070; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux3~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74 .lut_mask = 64'h0000AE8C0505AF8D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 ( +// Location: LABCELL_X50_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [29]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~72_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~74_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .lut_mask = 64'h505F404C737F9C93; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75 .lut_mask = 64'hFCECFCEC0C0C0C0C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder ( +// Location: LABCELL_X50_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout = ( \neorv32_top_inst|rdata_v~55_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~5_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~55_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~75_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76 .lut_mask = 64'hABFBABFB01510151; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] ( +// Location: FF_X50_Y15_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[24]~76_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 ( +// Location: MLABCELL_X59_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [29]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [29]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .lut_mask = 64'h33330000B8B8B8B8; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE ( +// Location: FF_X59_Y17_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[29]~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [28]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X73_Y8_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q )))) ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 = CARRY(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q )))) ) + ( GND ) -// + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~30 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .lut_mask = 64'h0000FFFF0000F2D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X80_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 ( +// Location: LABCELL_X46_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q ) ) # -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [29]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[29]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .lut_mask = 64'h00000A0AFFFF5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [61]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~46_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y16_N22 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .lut_mask = 64'h1010B0B01F10BFB0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 ( +// Location: LABCELL_X40_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout )) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~117_sumout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[29]~47_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .lut_mask = 64'h000300030F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: MLABCELL_X72_Y8_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) ) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [29]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .lut_mask = 64'hA0A0A00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X68_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .lut_mask = 64'hFF00FF0003005700; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux2~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y13_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux8~0_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] ( +// Location: FF_X40_Y17_N59 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[23] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 ( +// Location: LABCELL_X37_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [24]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [24]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [24]), +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [23]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .lut_mask = 64'h00CC474733FF4747; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .lut_mask = 64'h0202020213131313; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] ( +// Location: FF_X37_Y16_N13 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~4_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~21_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[24] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X59_Y15_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[24] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~9 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~9_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24] ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [24]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [24]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a24 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~9_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~9 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~9 .lut_mask = 64'hCCCC0000CC000000; -defparam \neorv32_top_inst|rdata_v~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~10 ( +// Location: LABCELL_X36_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 ( // Equation(s): -// \neorv32_top_inst|rdata_v~10_combout = ( \neorv32_top_inst|rdata_v~9_combout & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout -// ))) ) ) # ( !\neorv32_top_inst|rdata_v~9_combout ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~9_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~10_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~10 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~10 .lut_mask = 64'hFFFFFFFF03570357; -defparam \neorv32_top_inst|rdata_v~10 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y13_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~10_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [8]), - .prn(vcc)); + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .lut_mask = 64'h3300550F33FF550F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y9_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] ( +// Location: FF_X36_Y17_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [8]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~21_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .power_up = "low"; // synopsys translate_on -// Location: FF_X68_Y10_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] ( +// Location: FF_X42_Y13_N53 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2 ( +// Location: LABCELL_X42_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~50 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|rdata_v~50_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23] & ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [12]), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [23]), + .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ), + .combout(\neorv32_top_inst|rdata_v~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2 .lut_mask = 64'h0F040F040FBF0FBF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~50 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~50 .lut_mask = 64'h8800000080000000; +defparam \neorv32_top_inst|rdata_v~50 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux20~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q ), - .prn(vcc)); +// Location: MLABCELL_X47_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~52 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~52_combout = ( \neorv32_top_inst|rdata_v~51_combout ) # ( !\neorv32_top_inst|rdata_v~51_combout & ( !\neorv32_top_inst|rdata_v~50_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|rdata_v~50_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~51_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~52_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~52 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~52 .lut_mask = 64'hFF00FF00FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~52 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] ( +// Location: FF_X46_Y14_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux17~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~52_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y14_N12 -cyclonev_lcell_comb \neorv32_top_inst|io_wren~0 ( +// Location: MLABCELL_X47_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 ( // Equation(s): -// \neorv32_top_inst|io_wren~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[0]~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [3]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][7]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~10_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][7]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|io_wren~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|io_wren~0 .extended_lut = "off"; -defparam \neorv32_top_inst|io_wren~0 .lut_mask = 64'h0000001100000000; -defparam \neorv32_top_inst|io_wren~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .lut_mask = 64'h083B083B4C7F4C7F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19 ( +// Location: LABCELL_X51_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19 .lut_mask = 64'h0C0E0C0E3F1F3F1F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0 .lut_mask = 64'h0C0C0C0C44440C0C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~3 ( +// Location: LABCELL_X48_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 ( // Equation(s): -// \neorv32_top_inst|Equal0~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~3 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|Equal0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .lut_mask = 64'h00000000FFFF3F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|io_wren ( +// Location: LABCELL_X51_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 ( // Equation(s): -// \neorv32_top_inst|io_wren~combout = ( \neorv32_top_inst|Equal0~3_combout & ( \neorv32_top_inst|Equal0~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout & (\neorv32_top_inst|Equal0~0_combout & -// (\neorv32_top_inst|Equal0~2_combout & \neorv32_top_inst|io_wren~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ), - .datab(!\neorv32_top_inst|Equal0~0_combout ), - .datac(!\neorv32_top_inst|Equal0~2_combout ), - .datad(!\neorv32_top_inst|io_wren~0_combout ), - .datae(!\neorv32_top_inst|Equal0~3_combout ), - .dataf(!\neorv32_top_inst|Equal0~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|io_wren~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|io_wren .extended_lut = "off"; -defparam \neorv32_top_inst|io_wren .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|io_wren .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .lut_mask = 64'h000A0000CC0ACC00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 ( +// Location: LABCELL_X51_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & -// \neorv32_top_inst|io_wren~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|io_wren~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux84~0_combout ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~68_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .lut_mask = 64'h0505050500000000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .lut_mask = 64'h04040C0C07070F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 ( +// Location: LABCELL_X48_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~67_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~70_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~69_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .lut_mask = 64'h00FF00FF00000000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71 .lut_mask = 64'h35053505F5F5F5F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we ( +// Location: FF_X48_Y17_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[23]~71_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N2 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] ( +// Location: FF_X57_Y14_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~49_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 ( +// Location: LABCELL_X43_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [22]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N5 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18 .lut_mask = 64'h000000000000FAF2; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 ( +// Location: LABCELL_X43_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout ))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~31_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~28_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~29_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~27_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~32_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~30_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 ( +// Location: LABCELL_X42_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~11_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~9_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~14_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~13_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~10_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N11 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 ( +// Location: LABCELL_X42_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 -// )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [23]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .lut_mask = 64'h88888888F000F000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 ( +// Location: LABCELL_X42_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout ))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~23_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~22_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[20]~24_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~26_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~21_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~25_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 ( +// Location: LABCELL_X42_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout & +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~18_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N20 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .lut_mask = 64'h0000000000000008; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 ( +// Location: MLABCELL_X39_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 )) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout = ( \neorv32_top_inst|io_wren~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|io_wren~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22 .lut_mask = 64'h0000000000080008; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), +// Location: M10K_X58_Y13_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE_q ), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), - .shareout()); +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y17_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] ( +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X37_Y15_N10 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 ( +// Location: LABCELL_X37_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .lut_mask = 64'h0311CF1103DDCFDD; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] ( +// Location: FF_X37_Y18_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [9]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 ( +// Location: LABCELL_X42_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N32 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] ( +// Location: FF_X42_Y17_N49 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N35 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] ( +// Location: FF_X50_Y14_N10 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [11]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 ( +// Location: LABCELL_X45_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [6]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [6]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .lut_mask = 64'h0055005505050505; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N38 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] ( +// Location: FF_X45_Y15_N17 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~30_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 ( +// Location: M10K_X41_Y10_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 ( + .portawe(vcc), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(vcc), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain(10'b0000000000), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(10'b0000000000), + .portbaddr(10'b0000000000), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6_PORTADATAOUT_bus ), + .portbdataout(), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .clk0_core_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .clk0_input_clock_enable = "ena0"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .init_file = "bootloarder_1.neorv32_test_setup_bootloader0.rtl.mif"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .init_file_layout = "port_a"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_boot_rom:\neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|altsyncram:Mux26_rtl_0|altsyncram_bg91:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .operation_mode = "rom"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 1023; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 1024; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 32; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_a_write_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 10; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .mem_init4 = "0000000000000000000000000000000000000000000000000000000000000000000C0100C0200C03008000000300C030040300C0300C0300C0100C0100C010000100C03004000040300800000000040300C0300402004030000300C0300C03004000080000C02008030040200C03004010080300C010040200C03000030080200C02008030000100C0300C0000C03000030040300C0300801004030080100800004020040200002000000080000800008000040000001004020000300C0200C02000000000000C0300C01000000000300C000000200C010080300C0000C020040200401008010000000C02008030000100802008010000100000000000040000"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .mem_init3 = "002000020000200400004000040300C000040000001000010040000002008000000000800000000000000003008000000000C00004000000200002000020000200002000000080100002004000080300002000000080000001000000000100002008010040100C00004020000000C000080300801008020040000C02000010000200C0200801000020000200802000000000000000000020000100002008020040300C0200C0100800004030000000400008020040000C0000C0200C00000030000200C0200C000000100C0000000008030000100003000010000000C010000000800008020000200C0000800008010080200402000000000000002000000000"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .mem_init2 = "000402000020000000C0000800008010080000C0000800000000000100801000000040200800000010040200C0000803004030000300003000030000100002004020000000401000010000000C0200400000020000200002000020000200002000000080000802000000080000800000020080000C01008000000200000004020000000C02000010080200802000000080200802000000000000802008000000100002000010080000001000010080200002000010000000800000000040300003008010040000002000020040000000000000000000000004000080000800008010040200002008000080200803008000040000000000000000000001000010"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .mem_init1 = "08000000100C0200002004020080300003008020040200C00004000080300800004020000300802000010080300800008000000200800008000040000801000030040300001000010040200002000010080200C000040100C00004000080100801000020040200C0000803000010000200C030000100C02008010080100C03008030000200C0200C02000010040300C0000C0000803000010040100C010000100800000010080200C00008000000100803000010000200400008010080100802008000000200C0200801000020080100800004000000000000000000000000C010080200402000000040200C000040200C020080300001000030000100002004"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 .mem_init0 = "0200001000020040100C020080300401008020040000C020080300801008020040100C0200801000030000000800000000000100800000020080000800008030080000003000020000200000000000080000402000000080200000008020000000802000000080200000004020000000002008000000000001008000000200000004020000000C0200000000000080200802000000000000800000000000000001000020000100001004000040000000004010040000000004030040300400000030080000C000000000C02008020000300C02000020000200000000000000000000000000000000000000000000000000000000000200000000030000000C01"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X61_Y13_N41 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 ( +// Location: LABCELL_X42_Y12_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N43 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] ( +// Location: FF_X42_Y12_N4 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X43_Y12_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [6]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 ( +// Location: LABCELL_X43_Y12_N51 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~74 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 -// )) +// \neorv32_top_inst|rdata_v~74_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6] & +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6~portadataout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6~portadataout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), + .combout(\neorv32_top_inst|rdata_v~74_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~74 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~74 .lut_mask = 64'h8880888000000000; +defparam \neorv32_top_inst|rdata_v~74 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE ( +// Location: LABCELL_X46_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~75 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~75_combout = ( \neorv32_top_inst|rdata_v~74_combout & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout & +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout )))) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout & (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ))) ) ) # ( !\neorv32_top_inst|rdata_v~74_combout +// ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6~portbdataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~74_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~75_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~75 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~75 .lut_mask = 64'hFFFFFFFF05370537; +defparam \neorv32_top_inst|rdata_v~75 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X46_Y13_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~75_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 ( +// Location: FF_X46_Y13_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~75_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][6]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][6]~q ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18 .lut_mask = 64'h0F0F0F0F33333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N50 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] ( +// Location: FF_X47_Y14_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~78_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 ( +// Location: MLABCELL_X47_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63 .lut_mask = 64'h03550355F355F355; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N53 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), - .prn(vcc)); +// Location: LABCELL_X50_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .lut_mask = 64'h01FD00CC00FC00CC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 ( +// Location: LABCELL_X50_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~64_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .lut_mask = 64'h545700005457FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65 .shared_arith = "off"; +// synopsys translate_on + +// Location: MLABCELL_X52_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~63_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~65_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66 .lut_mask = 64'h038B038B47CF47CF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y13_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] ( +// Location: FF_X52_Y14_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~66_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N59 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE ( +// Location: FF_X57_Y16_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 ( +// Location: LABCELL_X42_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [6]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), - .prn(vcc)); +// Location: LABCELL_X40_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .lut_mask = 64'h0000000000080008; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 ( +// Location: LABCELL_X45_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout = ( \neorv32_top_inst|io_wren~combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), - .datab(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|io_wren~combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .lut_mask = 64'h0000000000880088; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N5 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] ( +// Location: FF_X45_Y16_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|write_access~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y12_N8 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] ( +// Location: FF_X40_Y18_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77526,43 +88958,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 ( +// Location: LABCELL_X40_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~2 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N11 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] ( +// Location: FF_X40_Y18_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77570,43 +89002,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 ( +// Location: LABCELL_X40_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~6 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] ( +// Location: FF_X40_Y18_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77614,43 +89046,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 ( +// Location: LABCELL_X40_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~90 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] ( +// Location: FF_X40_Y18_N11 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77658,43 +89090,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 ( +// Location: LABCELL_X40_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~106 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N19 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] ( +// Location: FF_X40_Y18_N14 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77702,43 +89134,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 ( +// Location: LABCELL_X40_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~114 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] ( +// Location: FF_X40_Y18_N17 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77746,43 +89178,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 ( +// Location: LABCELL_X40_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~18 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] ( +// Location: FF_X40_Y18_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77790,43 +89222,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 ( +// Location: LABCELL_X40_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~122 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] ( +// Location: FF_X40_Y18_N22 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77834,43 +89266,43 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 ( +// Location: LABCELL_X40_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~82 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] ( +// Location: FF_X40_Y18_N26 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77878,43 +89310,44 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 ( +// Location: LABCELL_X40_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 +// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), + .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~50 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .lut_mask = 64'h0000FFFF000000FF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N35 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] ( +// Location: FF_X40_Y18_N29 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -77922,1020 +89355,1024 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 ( +// Location: LABCELL_X40_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~74 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), - .cout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .lut_mask = 64'h0000FFFF00000000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y12_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] ( +// Location: FF_X40_Y18_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 ( +// Location: LABCELL_X40_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE_q ), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~58 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N5 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE ( +// Location: FF_X40_Y18_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 ( +// Location: LABCELL_X40_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~126 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~66 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] ( +// Location: FF_X40_Y18_N38 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 ( +// Location: LABCELL_X40_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~22 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~98 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N11 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE ( +// Location: FF_X40_Y18_N40 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 ( +// Location: LABCELL_X40_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~42 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N14 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] ( +// Location: FF_X40_Y18_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 ( +// Location: LABCELL_X40_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~34 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N17 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE ( +// Location: FF_X40_Y18_N47 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 ( +// Location: LABCELL_X40_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~26 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y15_N20 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 ( +// Location: LABCELL_X40_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~14 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N23 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] ( +// Location: FF_X40_Y18_N53 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 ( +// Location: LABCELL_X40_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~46 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~10 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N26 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE ( +// Location: FF_X40_Y18_N56 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[18]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 ( +// Location: LABCELL_X40_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 -// )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~94 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N29 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE ( +// Location: FF_X40_Y18_N59 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 ( +// Location: LABCELL_X40_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~86 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~110 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N32 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] ( +// Location: FF_X40_Y17_N2 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[20] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 ( +// Location: LABCELL_X40_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 +// )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~118 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N35 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] ( +// Location: FF_X40_Y17_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[21]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 ( +// Location: LABCELL_X40_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~22 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N38 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE ( +// Location: FF_X40_Y17_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 ( +// Location: LABCELL_X40_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE_q ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 +// )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE_q ), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~126 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N41 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] ( +// Location: FF_X40_Y17_N11 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[23]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 ( +// Location: LABCELL_X40_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~86 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N44 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] ( +// Location: FF_X40_Y17_N14 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~53_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [24]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 ( +// Location: LABCELL_X40_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 -// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~54 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 ( +// Location: LABCELL_X40_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~38 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~78 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N50 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] ( +// Location: FF_X40_Y17_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~61_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 ( +// Location: LABCELL_X40_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~62 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N53 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] ( +// Location: FF_X40_Y17_N22 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~69_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 ( +// Location: LABCELL_X40_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~70 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N56 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE ( +// Location: FF_X40_Y17_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[28] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y15_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 ( +// Location: LABCELL_X40_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~70 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~102 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N58 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] ( +// Location: FF_X40_Y17_N29 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~45_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 ( +// Location: LABCELL_X40_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~46 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N2 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] ( +// Location: FF_X40_Y17_N32 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 ( +// Location: LABCELL_X40_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~78 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~38 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N5 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] ( +// Location: FF_X40_Y17_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 ( +// Location: LABCELL_X40_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout = SUM(( GND ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 )) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~30 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), - .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~30 ), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .lut_mask = 64'h0000FFFF00000000; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y14_N8 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] ( +// Location: FF_X40_Y17_N37 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~129_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_ovfl[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y14_N11 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] ( +// Location: LABCELL_X45_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 )) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~2 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y18_N5 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -78943,904 +90380,903 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y14_N34 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] ( +// Location: LABCELL_X45_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 +// )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~6 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y18_N8 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [23]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[12]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 ( +// Location: LABCELL_X45_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23] & -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23] & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [23]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [23]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [23]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [23]), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~90 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .lut_mask = 64'h1B001BAA1B551BFF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] ( +// Location: FF_X45_Y18_N11 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~10_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y13_N14 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] ( +// Location: FF_X45_Y18_N14 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23] .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~22 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~22_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [23]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [23]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a23 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~22_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~22 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~22 .lut_mask = 64'hA0A0000080800000; -defparam \neorv32_top_inst|rdata_v~22 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~26 ( +// Location: LABCELL_X45_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 ( // Equation(s): -// \neorv32_top_inst|rdata_v~26_combout = ( \neorv32_top_inst|rdata_v~23_combout ) # ( !\neorv32_top_inst|rdata_v~23_combout & ( !\neorv32_top_inst|rdata_v~22_combout ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|rdata_v~22_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~23_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~114 ), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~26_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~26 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~26 .lut_mask = 64'hF0F0F0F0FFFFFFFF; -defparam \neorv32_top_inst|rdata_v~26 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[7] ( +// Location: FF_X45_Y18_N17 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~26_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~17_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [7]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y9_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE ( +// Location: LABCELL_X45_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~18 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y18_N20 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [7]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~121_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder ( +// Location: LABCELL_X45_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~122 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] ( +// Location: FF_X45_Y18_N22 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .power_up = "low"; -// synopsys translate_on - -// Location: M10K_X49_Y13_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 ( +// Location: LABCELL_X45_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22])) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22])) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[22]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .lut_mask = 64'h0F5533000F5533FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y14_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] ( +// Location: FF_X45_Y18_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~49_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[8] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y15_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] ( +// Location: LABCELL_X45_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~50 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y18_N28 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[9] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N3 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~16 ( +// Location: LABCELL_X45_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 ( // Equation(s): -// \neorv32_top_inst|rdata_v~16_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), - .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~16_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~16 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~16 .lut_mask = 64'h8888000080800000; -defparam \neorv32_top_inst|rdata_v~16 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X55_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~17 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~17_combout = ( \neorv32_top_inst|rdata_v~16_combout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ))) ) ) # ( !\neorv32_top_inst|rdata_v~16_combout ) - - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|rdata_v~16_combout ), + .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~17_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~17 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~17 .lut_mask = 64'hFFFF0357FFFF0357; -defparam \neorv32_top_inst|rdata_v~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[6] ( +// Location: FF_X45_Y18_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~17_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~57_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [10]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [6]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[10] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y9_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .prn(vcc)); +// Location: LABCELL_X45_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 )) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~58 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[22] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y9_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] ( +// Location: FF_X45_Y18_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~37_sumout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~65_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6 ( +// Location: LABCELL_X45_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [28]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~66 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6 .lut_mask = 64'h0A0E0A0E5F1F5F1F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell ( +// Location: FF_X45_Y18_N38 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [12]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[12] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y18_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30] ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [30]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~98 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] ( +// Location: FF_X45_Y18_N40 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~41_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11 ( +// Location: LABCELL_X45_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [30]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [30]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11 .lut_mask = 64'h0A0E0A0E5F1F5F1F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 ( -// Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [17]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y18_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~33_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .lut_mask = 64'h0A0E0A0E5F1F5F1F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7 ( +// Location: LABCELL_X45_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [27]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7 .lut_mask = 64'h05010501AFEFAFEF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [26]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y18_N47 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~25_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9 .lut_mask = 64'h0D0C0D0C2F3F2F3F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10 ( +// Location: LABCELL_X45_Y18_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [29]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~26 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10 .lut_mask = 64'h0D0C0D0C2F3F2F3F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|Equal0~1 ( -// Equation(s): -// \neorv32_top_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout -// & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|Equal0~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y18_N50 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|Equal0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|Equal0~1 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|Equal0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|io_rden ( +// Location: LABCELL_X45_Y18_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 ( // Equation(s): -// \neorv32_top_inst|io_rden~combout = ( \neorv32_top_inst|Equal0~2_combout & ( \neorv32_top_inst|Equal0~3_combout & ( (\neorv32_top_inst|Equal0~1_combout & (\neorv32_top_inst|Equal0~0_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout & \neorv32_top_inst|io_rden~0_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 )) - .dataa(!\neorv32_top_inst|Equal0~1_combout ), - .datab(!\neorv32_top_inst|Equal0~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ), - .datad(!\neorv32_top_inst|io_rden~0_combout ), - .datae(!\neorv32_top_inst|Equal0~2_combout ), - .dataf(!\neorv32_top_inst|Equal0~3_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|io_rden~combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|io_rden .extended_lut = "off"; -defparam \neorv32_top_inst|io_rden .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|io_rden .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 ( +// Location: FF_X45_Y18_N52 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout = (\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout & (\neorv32_top_inst|io_rden~combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ))) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 )) - .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), - .datab(!\neorv32_top_inst|io_rden~combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), + .datac(gnd), + .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .lut_mask = 64'h0001000100010001; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|rden~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 ( +// Location: FF_X45_Y18_N55 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[18] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y18_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout )) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 )) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~94 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .lut_mask = 64'h0A000A0000000000; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N40 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] ( +// Location: FF_X45_Y18_N58 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [19]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [19]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[19] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder ( +// Location: LABCELL_X45_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20] +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~110 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), + .cout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N46 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] ( +// Location: FF_X45_Y17_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [20]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [20]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y13_N2 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] ( +// Location: FF_X45_Y17_N4 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [20]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~21_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 ( +// Location: MLABCELL_X39_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [20]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [20]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [20]), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .lut_mask = 64'h1B1B1B1B00AA55FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .lut_mask = 64'h11BB11BB0505AFAF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] ( +// Location: FF_X39_Y17_N13 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -79849,249 +91285,238 @@ dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~42 ( +// Location: LABCELL_X45_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~42_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20] & -// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 )))) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21] ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a20 ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [20]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~42_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~42 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~42 .lut_mask = 64'hA800A80000000000; -defparam \neorv32_top_inst|rdata_v~42 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X69_Y12_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X45_Y15_N47 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~43 ( +// Location: MLABCELL_X47_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~43_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q -// ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( -// (!\neorv32_top_inst|rdata_v~42_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 )) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( -// (!\neorv32_top_inst|rdata_v~42_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( -// (!\neorv32_top_inst|rdata_v~42_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 )) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21] ) - .dataa(!\neorv32_top_inst|rdata_v~42_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4 ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a4~portbdataout ), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~43_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~43 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~43 .lut_mask = 64'hAAAFAAAFAAAFFFFF; -defparam \neorv32_top_inst|rdata_v~43 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y15_N49 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[21] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [21]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [21]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .lut_mask = 64'h0050005005550555; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder ( +// Location: FF_X45_Y15_N58 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~5_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[21] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder_combout = ( \neorv32_top_inst|rdata_v~43_combout ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~43_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4] ( +// Location: FF_X47_Y15_N44 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [4]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y9_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE ( +// Location: FF_X46_Y15_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [21]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder ( +// Location: LABCELL_X46_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] +// \neorv32_top_inst|rdata_v~13_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21] & ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [21]), .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21]), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y14_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[13] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~13 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~13 .lut_mask = 64'h8888000000000000; +defparam \neorv32_top_inst|rdata_v~13 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X69_Y15_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1~21_combout ), +// Location: M10K_X49_Y10_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b1_rd[0]~0_combout ), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), @@ -80102,65 +91527,67 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem .clr0(gnd), .clr1(gnd), .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; // synopsys translate_on -// Location: M10K_X69_Y14_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ( - .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), +// Location: M10K_X49_Y12_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rd[0]~0_combout ), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), @@ -80171,1323 +91598,1168 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem .clr0(gnd), .clr1(gnd), .nerror(vcc), - .portadatain({gnd,gnd,\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [14],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [13],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [11]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(5'b00000), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), - .devclrn(devclrn), - .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b1_rtl_0|altsyncram_c6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 11; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 2047; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 2048; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; -// synopsys translate_on - -// Location: LABCELL_X56_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [14]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [14]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [14]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [14]), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .lut_mask = 64'h0C3F0C3F44447777; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y14_N7 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[14] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X63_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 ( -// Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout = (\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout $ (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .lut_mask = 64'h2010201020102010; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~5 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X63_Y13_N49 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~5_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[14] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X56_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~56 ( +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y12_N24 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~14 ( // Equation(s): -// \neorv32_top_inst|rdata_v~56_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14] & -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]))) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14])) ) ) +// \neorv32_top_inst|rdata_v~14_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout +// & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [14]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [14]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a14 ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~56_combout ), + .combout(\neorv32_top_inst|rdata_v~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~56 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~56 .lut_mask = 64'hA000A00080008000; -defparam \neorv32_top_inst|rdata_v~56 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~14 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~14 .lut_mask = 64'h000033330F0F3F3F; +defparam \neorv32_top_inst|rdata_v~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~57 ( +// Location: MLABCELL_X47_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~15 ( // Equation(s): -// \neorv32_top_inst|rdata_v~57_combout = ( \neorv32_top_inst|rdata_v~56_combout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ))) ) ) # ( !\neorv32_top_inst|rdata_v~56_combout ) +// \neorv32_top_inst|rdata_v~15_combout = (!\neorv32_top_inst|rdata_v~13_combout ) # (((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )) # (\neorv32_top_inst|rdata_v~14_combout )) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a6 ), + .dataa(!\neorv32_top_inst|rdata_v~13_combout ), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 ), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(!\neorv32_top_inst|rdata_v~14_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~56_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~57_combout ), + .combout(\neorv32_top_inst|rdata_v~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~57 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~57 .lut_mask = 64'hFFFFFFFF03570357; -defparam \neorv32_top_inst|rdata_v~57 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~15 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~15 .lut_mask = 64'hABFFABFFABFFABFF; +defparam \neorv32_top_inst|rdata_v~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[14] ( +// Location: FF_X47_Y13_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~57_combout ), + .asdata(\neorv32_top_inst|rdata_v~15_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [14]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[14] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X73_Y8_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [14]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 ( +// Location: MLABCELL_X47_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][5]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][5]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .lut_mask = 64'h00A080A050F0D0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61 .lut_mask = 64'h048C048C37BF37BF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1 ( +// Location: LABCELL_X51_Y14_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1 .lut_mask = 64'h0000030300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y10_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux35~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1 .lut_mask = 64'h0000000200000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 ( +// Location: MLABCELL_X52_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout )))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~61_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux86~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .lut_mask = 64'hCC00CC00CC00CC00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .lut_mask = 64'h40734073CCFFCCFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y8_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE ( +// Location: FF_X52_Y16_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[21]~62_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux20~0_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X67_Y8_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q $ (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[30]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .lut_mask = 64'h0504AF8C37C9BF63; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X60_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout = \neorv32_top_inst|rdata_v~59_combout - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|rdata_v~59_combout ), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y13_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] ( +// Location: FF_X57_Y15_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y7_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 ( +// Location: LABCELL_X40_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) -// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14] & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [14]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .lut_mask = 64'h5050DDDD50508888; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37 .lut_mask = 64'h000F000FFF0FFF0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y10_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 ( +// Location: LABCELL_X42_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) +// \neorv32_top_inst|Equal0~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15])))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15] & +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [9]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ), + .combout(\neorv32_top_inst|Equal0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .lut_mask = 64'h0000F5F50A0AFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~6 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~6 .lut_mask = 64'h001100110C1D0C1D; +defparam \neorv32_top_inst|Equal0~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y7_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 ( +// Location: LABCELL_X40_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|Equal0~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] ) ) ) +// \neorv32_top_inst|Equal0~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout & ( \neorv32_top_inst|Equal0~6_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [62]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout ), + .dataf(!\neorv32_top_inst|Equal0~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ), + .combout(\neorv32_top_inst|Equal0~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .lut_mask = 64'h33330F0F00AA00AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .shared_arith = "off"; +defparam \neorv32_top_inst|Equal0~7 .extended_lut = "off"; +defparam \neorv32_top_inst|Equal0~7 .lut_mask = 64'h0000000000000001; +defparam \neorv32_top_inst|Equal0~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), - .shareout()); +// Location: FF_X36_Y13_N31 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer~0_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .lut_mask = 64'h00000D2F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 ( +// Location: LABCELL_X46_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_buffer.re~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .lut_mask = 64'h0505050515151515; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .lut_mask = 64'h0000F0F00F0FFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y17_N4 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~1_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .lut_mask = 64'hA0A0808000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 ( +// Location: LABCELL_X40_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] $ +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0])))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~57_sumout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .lut_mask = 64'hF0F0F0F000305070; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .lut_mask = 64'h2002200270077007; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3 ( +// Location: LABCELL_X40_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout & ( (!\neorv32_top_inst|Equal0~7_combout ) # ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) # +// ((!\neorv32_top_inst|io_wren~1_combout ) # (!\neorv32_top_inst|Equal0~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout & ( (\neorv32_top_inst|Equal0~7_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & +// (\neorv32_top_inst|io_wren~1_combout & \neorv32_top_inst|Equal0~5_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opa_mux~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .dataa(!\neorv32_top_inst|Equal0~7_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|io_wren~1_combout ), + .datad(!\neorv32_top_inst|Equal0~5_combout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3 .lut_mask = 64'h11111111BBBBBBBB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[2]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .lut_mask = 64'h0000FFFF0001FFFE; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] ( +// Location: FF_X40_Y16_N25 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1 ( +// Location: MLABCELL_X39_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2])))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2])))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2])) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.w_pnt [0]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1 .lut_mask = 64'h11DD11DD01FD01FD; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X64_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[11]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [11]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [11]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [11]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.r_pnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .lut_mask = 64'h272700552727AAFF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .lut_mask = 64'h0F0F0F0FF0F0F0F0; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y14_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] ( +// Location: FF_X39_Y13_N16 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X60_Y16_N26 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] ( +// Location: FF_X42_Y15_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [11]), - .clrn(vcc), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), + .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y16_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~50 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~50_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11] & ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11] ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [11]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [11]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a11 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~50_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y15_N25 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~50 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~50 .lut_mask = 64'hF0F00000F0000000; -defparam \neorv32_top_inst|rdata_v~50 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~51 ( +// Location: LABCELL_X40_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 ( // Equation(s): -// \neorv32_top_inst|rdata_v~51_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( (!\neorv32_top_inst|rdata_v~50_combout ) # -// (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout )) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q )) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 & ( (!\neorv32_top_inst|rdata_v~50_combout ) -// # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout )) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout = (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18])))) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|rdata_v~50_combout ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3~portbdataout ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a3 ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [18]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [18]), + .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~51_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~51 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~51 .lut_mask = 64'hCDCDCDFFCDCDCDFF; -defparam \neorv32_top_inst|rdata_v~51 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .lut_mask = 64'h0145014501450145; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[11] ( +// Location: FF_X40_Y13_N26 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~51_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~23_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [11]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[18] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y12_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] ( +// Location: FF_X37_Y15_N58 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [11]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 ( +// Location: MLABCELL_X39_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE_q ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18] & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [18]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [18]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[18]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [18]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .lut_mask = 64'h020002008AAA8AAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .lut_mask = 64'h330033FF550F550F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y11_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] ( +// Location: FF_X39_Y15_N44 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~23_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[18] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28 ( +// Location: LABCELL_X40_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~56 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )) ) ) ) +// \neorv32_top_inst|rdata_v~56_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17] & (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18])) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [18]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [18]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ), + .combout(\neorv32_top_inst|rdata_v~56_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28 .lut_mask = 64'h0000F3F00C0FFFFF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~56 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~56 .lut_mask = 64'hC000C00000000000; +defparam \neorv32_top_inst|rdata_v~56 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 ( +// Location: M10K_X49_Y9_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X49_Y8_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [18]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X48_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~57 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout )) ) ) ) +// \neorv32_top_inst|rdata_v~57_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout +// & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|rdata_v~57_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .lut_mask = 64'h0000030000000000; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~57 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~57 .lut_mask = 64'h00000F0F33333F3F; +defparam \neorv32_top_inst|rdata_v~57 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 ( +// Location: MLABCELL_X47_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~58 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout = (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout & !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ) +// \neorv32_top_inst|rdata_v~58_combout = ( \neorv32_top_inst|rdata_v~57_combout ) # ( !\neorv32_top_inst|rdata_v~57_combout & ( (!\neorv32_top_inst|rdata_v~56_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datab(!\neorv32_top_inst|rdata_v~56_combout ), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a18 ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~57_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|rdata_v~58_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .lut_mask = 64'h0F000F000F000F00; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y12_N53 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~58 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~58 .lut_mask = 64'hCDCDCDCDFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~58 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N23 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|ack_o ( +// Location: FF_X48_Y13_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .asdata(\neorv32_top_inst|rdata_v~58_combout ), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 ( +// Location: LABCELL_X48_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout = (!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][2]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][2]~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[18]~13_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .lut_mask = 64'h00F000F000F000F0; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y12_N8 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11 .lut_mask = 64'h10131013DCDFDCDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|ack_v~1 ( +// Location: LABCELL_X51_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0 ( // Equation(s): -// \neorv32_top_inst|ack_v~1_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q & ( (\neorv32_top_inst|ack_v~0_combout & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q & -// !\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) ) - .dataa(!\neorv32_top_inst|ack_v~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|ack_v~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|ack_v~1 .extended_lut = "off"; -defparam \neorv32_top_inst|ack_v~1 .lut_mask = 64'h5000500000000000; -defparam \neorv32_top_inst|ack_v~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0 .lut_mask = 64'h0F000FEE0C000CCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 ( +// Location: LABCELL_X50_Y13_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|ack_v~1_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .dataf(!\neorv32_top_inst|ack_v~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux33~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .lut_mask = 64'hFFFF000000000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .lut_mask = 64'h001100110F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3 ( +// Location: MLABCELL_X47_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~17_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][4]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][4]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3 .lut_mask = 64'hAAAAAAAAAA2AAA2A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59 .lut_mask = 64'h058D058D27AF27AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 ( +// Location: LABCELL_X51_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .lut_mask = 64'h000000000FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .lut_mask = 64'h00FF005513FF0055; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4 ( +// Location: LABCELL_X51_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3_combout ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~1_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~2_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~59_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux87~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4 .lut_mask = 64'hABFFABFFFFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .lut_mask = 64'h505000FF5F5F00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en ( +// Location: FF_X51_Y13_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[20]~60_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X61_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .lut_mask = 64'h008A008A20AA20AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder ( +// Location: MLABCELL_X59_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .lut_mask = 64'h0F0F00000F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] ( +// Location: FF_X59_Y19_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux4~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y15_N28 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] ( +// Location: FF_X46_Y16_N50 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [21]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [28]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), @@ -81495,300 +92767,224 @@ dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] ( .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28] .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y13_N55 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] ( +// Location: FF_X42_Y19_N26 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [21]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 ( +// Location: MLABCELL_X39_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]))))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [21]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [21]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [21]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [21]), +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((!\neorv32_top_inst|io_rden~combout & +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]))) # (\neorv32_top_inst|io_rden~combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0])))) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), + .datac(!\neorv32_top_inst|io_rden~combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .lut_mask = 64'h404C707C434F737F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .lut_mask = 64'h00FF00FF01FB01FB; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N43 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] ( +// Location: FF_X39_Y16_N40 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~20_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo~1_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~44 ( +// Location: LABCELL_X42_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty ( // Equation(s): -// \neorv32_top_inst|rdata_v~44_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 & ( (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]))) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0] ) ) - .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [21]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [21]), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.r_pnt [0]), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a21 ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.w_pnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~44_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~44 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~44 .lut_mask = 64'hC000C00080008000; -defparam \neorv32_top_inst|rdata_v~44 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .lut_mask = 64'h55555555AAAAAAAA; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~45 ( +// Location: LABCELL_X37_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 ( // Equation(s): -// \neorv32_top_inst|rdata_v~45_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( \neorv32_top_inst|rdata_v~44_combout & ( -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 )) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( \neorv32_top_inst|rdata_v~44_combout & ( -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( !\neorv32_top_inst|rdata_v~44_combout ) ) # ( -// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 & ( !\neorv32_top_inst|rdata_v~44_combout ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q & ( \neorv32_top_inst|io_rden~combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q & ( \neorv32_top_inst|io_rden~combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) +// ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a5 ), - .dataf(!\neorv32_top_inst|rdata_v~44_combout ), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_IDLE~q ), + .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~45_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~45 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~45 .lut_mask = 64'hFFFFFFFF00335577; -defparam \neorv32_top_inst|rdata_v~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .lut_mask = 64'h0000000001013131; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[5] ( +// Location: FF_X37_Y14_N52 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~45_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~2_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[5] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X60_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 ( +// Location: MLABCELL_X39_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) ) ) ) +// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .lut_mask = 64'h00000000A0A00000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X57_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q )))))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ))))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~10_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mpie~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux82~0_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .lut_mask = 64'h202A3030202A3F3F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .lut_mask = 64'h0000000010101010; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y10_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie ( +// Location: FF_X39_Y16_N59 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~82_combout ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie .power_up = "low"; -// synopsys translate_on - -// Location: FF_X56_Y9_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~feeder_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_firq[3]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti .power_up = "low"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 ( +// Location: MLABCELL_X39_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q & -// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]) ) ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout & \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .lut_mask = 64'h005500550F5F0F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .lut_mask = 64'h00F000F0FFFFFFFF; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] ( +// Location: FF_X39_Y16_N38 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -81797,1997 +92993,2133 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 ( +// Location: MLABCELL_X39_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]) ) ) +// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_flag~q ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .lut_mask = 64'hA0A0A0A000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X57_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ), + .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .lut_mask = 64'hFFFFFF001050FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start ( +// Location: FF_X39_Y16_N8 +dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ), + .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~2_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf -// [1]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .lut_mask = 64'h5F005F005FFF5FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout )))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .lut_mask = 64'h8D0F0F0FDD5F5F5F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X62_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .lut_mask = 64'h22EE22EE00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y11_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER ( +// Location: FF_X45_Y17_N34 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~29_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y13_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0 .lut_mask = 64'h000000000F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 ( +// Location: LABCELL_X40_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]))) ) ) -// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]) ) -// ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.pend~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|arbiter.err~q ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [31]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [31]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [31]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .lut_mask = 64'h00CC00CC04CC04CC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .lut_mask = 64'h02520757A2F2A7F7; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] ( +// Location: FF_X39_Y17_N35 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~7_combout ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[31] .power_up = "low"; // synopsys translate_on -// Location: FF_X55_Y11_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X37_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE ( +// Location: FF_X37_Y16_N43 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31]~feeder_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 ( +// Location: LABCELL_X37_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]))) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [7]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[8]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[6]~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .lut_mask = 64'hF500F500F500F500; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 ( +// Location: FF_X37_Y16_N28 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[31] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & -// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31] & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~6_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [2]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [5]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [31]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .lut_mask = 64'hF0700000F0F00000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .lut_mask = 64'h0000030330303333; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y11_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] ( +// Location: FF_X37_Y16_N37 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~7_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~7_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[31] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 ( +// Location: MLABCELL_X39_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~18 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4] -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause -// [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]))) ) ) ) +// \neorv32_top_inst|rdata_v~18_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31] & +// (!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [3]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [0]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.cause [4]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [31]), + .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [31]), + .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [31]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [31]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), + .combout(\neorv32_top_inst|rdata_v~18_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .lut_mask = 64'hF070F0F0F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~18 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~18 .lut_mask = 64'h8000800000000000; +defparam \neorv32_top_inst|rdata_v~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y8_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 ( +// Location: LABCELL_X46_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last -// [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16])))) ) ) +// \neorv32_top_inst|rdata_v~20_combout = ( \neorv32_top_inst|rdata_v~19_combout & ( !\neorv32_top_inst|rdata_v~18_combout ) ) # ( !\neorv32_top_inst|rdata_v~19_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc_last [16]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|epc~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.we~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~18_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux69~0_combout ), + .dataf(!\neorv32_top_inst|rdata_v~19_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), + .combout(\neorv32_top_inst|rdata_v~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .lut_mask = 64'hD010D010DF1FDF1F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y8_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~30_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr~14_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc[16] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~20 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~20 .lut_mask = 64'hFFFFFFFFF0F0F0F0; +defparam \neorv32_top_inst|rdata_v~20 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 ( +// Location: MLABCELL_X47_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder_combout = ( \neorv32_top_inst|rdata_v~20_combout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [16]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [16]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~20_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .lut_mask = 64'h0000FFFF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] ( +// Location: FF_X47_Y13_N4 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector51~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 ( +// Location: MLABCELL_X47_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [16]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][15]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][15]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~69_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[31]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .lut_mask = 64'hF5F5F5F5A0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y12_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~20_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), - .prn(vcc)); +// Location: LABCELL_X50_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0 .lut_mask = 64'h2727272727052705; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X72_Y14_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell ( +// Location: LABCELL_X48_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2 .lut_mask = 64'h0000000003030303; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] ( +// Location: LABCELL_X56_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28 .lut_mask = 64'h0303434353535353; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X56_Y17_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~61_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[16]~_wirecell_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[14]~28_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 ( +// Location: LABCELL_X50_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~62 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .lut_mask = 64'h202000AAAAAA00AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X53_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell ( +// Location: LABCELL_X56_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .lut_mask = 64'h0003000300000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] ( +// Location: FF_X56_Y16_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[17]~_wirecell_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [17]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 ( +// Location: LABCELL_X62_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~38 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .lut_mask = 64'hFF00FF0000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell ( +// Location: FF_X51_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector86~4_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata[30] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout = ( \neorv32_top_inst|rdata_v~25_combout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [18]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .lut_mask = 64'hF0F0F0F0F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] ( +// Location: FF_X45_Y13_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~25_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[18]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [18]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[18] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 ( +// Location: LABCELL_X55_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q +// & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30] & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [30]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .lut_mask = 64'h0ACF0ACF0AC00AC0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell ( +// Location: LABCELL_X60_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19] ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [19]), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y14_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~21_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[19]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [19]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[19] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .lut_mask = 64'h0F0C55443FC37799; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 ( +// Location: LABCELL_X62_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30] ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .lut_mask = 64'h0F0F0F0F05AF05AF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~17_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[20]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [20]), - .prn(vcc)); +// Location: LABCELL_X62_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30] ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~48_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[62]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .lut_mask = 64'h0F0F444400FF4444; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 ( +// Location: LABCELL_X62_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 = CARRY(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30])))) ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [30]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~18 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~118 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .lut_mask = 64'h00000B4F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~13_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[21]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X62_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[30]~49_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~121_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[21]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .lut_mask = 64'h000F000F030F030F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 ( +// Location: MLABCELL_X59_Y13_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .lut_mask = 64'hA0A0808000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[22]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X56_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~33_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .lut_mask = 64'hCCCC000CCCCC444C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux1~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 ( +// Location: MLABCELL_X59_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .lut_mask = 64'h0F0F000000000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell ( +// Location: LABCELL_X55_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [23]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .lut_mask = 64'hCCCCCCCCCCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~69_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[23]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [23]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[23] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .lut_mask = 64'h0000000090099009; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 ( +// Location: LABCELL_X56_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~70 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .lut_mask = 64'h0F0F0F0FF0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell ( +// Location: LABCELL_X55_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [24]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~57_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [24]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .lut_mask = 64'h00FF00FFFF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 ( +// Location: LABCELL_X55_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~58 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .lut_mask = 64'h8421000000008421; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell ( +// Location: LABCELL_X55_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [25]), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~65_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[25]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .lut_mask = 64'h0000C00C00003003; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 ( +// Location: MLABCELL_X59_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~66 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .lut_mask = 64'h8020080240100401; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell ( +// Location: MLABCELL_X59_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [26]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .lut_mask = 64'hA5A500000000A5A5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~41_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[26]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [26]), - .prn(vcc)); +// Location: MLABCELL_X59_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[26] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .lut_mask = 64'h0000000008020401; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 ( +// Location: LABCELL_X55_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~42 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .lut_mask = 64'h7150F5F550507150; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell ( +// Location: LABCELL_X55_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout = !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27] - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [27]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .lut_mask = 64'hF0F0F0F0F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .lut_mask = 64'h00AA80EA88EEA8FE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~33_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[27]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [27]), - .prn(vcc)); +// Location: LABCELL_X55_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[27] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .lut_mask = 64'hAA0AFF0F22023303; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 ( +// Location: LABCELL_X56_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~34 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .lut_mask = 64'hAAAAAAAAA0A080A0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y9_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~12_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), - .prn(vcc)); +// Location: LABCELL_X56_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15 .lut_mask = 64'h000800FF00080008; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell ( +// Location: MLABCELL_X59_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [28]), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~29_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[28]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [28]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[28] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .lut_mask = 64'h7333F7F310003130; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 ( +// Location: LABCELL_X57_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .lut_mask = 64'h50005000F5F0F5F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell ( +// Location: LABCELL_X57_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .lut_mask = 64'h50D450D4D4F5D4F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y13_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~45_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[29]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [29]), - .prn(vcc)); +// Location: MLABCELL_X59_Y18_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[29] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .lut_mask = 64'h9000009009000009; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 ( +// Location: LABCELL_X57_Y19_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 -// )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~46 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~49_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[30]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[30]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .lut_mask = 64'h9900990000990099; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 ( +// Location: LABCELL_X57_Y19_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~50 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .lut_mask = 64'h8040201008040201; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell ( +// Location: LABCELL_X57_Y19_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [31]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y13_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~53_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[31]~_wirecell_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .lut_mask = 64'h0000000000008241; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12 ( +// Location: LABCELL_X56_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12 .lut_mask = 64'h0A0E0A0E5F1F5F1F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .lut_mask = 64'h0000000055555DDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 ( +// Location: MLABCELL_X59_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout & ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[20]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [20]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[19]~4_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[21]~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .lut_mask = 64'hF0000000CC000000; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .lut_mask = 64'h0050005005000500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 ( +// Location: MLABCELL_X59_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout & -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[17]~8_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~7_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[28]~6_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[30]~11_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~9_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[29]~10_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .lut_mask = 64'hFFFFD4F500000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 ( +// Location: MLABCELL_X59_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout & -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~13_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~15_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[16]~14_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[23]~16_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[22]~17_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~19_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .lut_mask = 64'h8000000000000000; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .lut_mask = 64'h0A00FF0A8E00FF8E; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 ( +// Location: MLABCELL_X59_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .lut_mask = 64'h0000000000000800; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .lut_mask = 64'h5FDF050D0F4F0004; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21 ( +// Location: MLABCELL_X59_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[3]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21 .lut_mask = 64'h0000000000F000F0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .lut_mask = 64'h50005000FF50FF50; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 ( +// Location: MLABCELL_X59_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [25]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .lut_mask = 64'h270027AA275527FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .lut_mask = 64'hFF00BE00AA00AA00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y14_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~12_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .lut_mask = 64'h4DFF44FF004D0044; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y13_N11 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25]), - .prn(vcc)); +// Location: LABCELL_X57_Y19_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .lut_mask = 64'h0A8E0000FFFF0A8E; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~27 ( +// Location: LABCELL_X57_Y19_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 ( // Equation(s): -// \neorv32_top_inst|rdata_v~27_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( -// (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~27_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~27 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~27 .lut_mask = 64'hAA00000088000000; -defparam \neorv32_top_inst|rdata_v~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .lut_mask = 64'h22002200FF22FF22; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~28 ( +// Location: LABCELL_X56_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 ( // Equation(s): -// \neorv32_top_inst|rdata_v~28_combout = ( \neorv32_top_inst|rdata_v~27_combout & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 )))) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 )) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ))) ) ) # ( !\neorv32_top_inst|rdata_v~27_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ), - .datae(!\neorv32_top_inst|rdata_v~27_combout ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~28_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~28 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~28 .lut_mask = 64'hFFFF0537FFFF0537; -defparam \neorv32_top_inst|rdata_v~28 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y12_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~28_combout ), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [9]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .lut_mask = 64'hAAAA8AA888888888; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y9_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [9]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X56_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30])) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .lut_mask = 64'h8080080840400404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 ( +// Location: LABCELL_X57_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0])))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .lut_mask = 64'h8000800000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .lut_mask = 64'h8421000000008421; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 ( +// Location: LABCELL_X55_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .lut_mask = 64'h0003000300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .lut_mask = 64'hC030C0300C030C03; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 ( +// Location: LABCELL_X56_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27])))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .lut_mask = 64'h0000000033333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .lut_mask = 64'h0000000000000021; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y10_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux40~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), - .prn(vcc)); +// Location: LABCELL_X56_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16 .lut_mask = 64'hAAAFAAAFAAAF0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y11_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_done_o~combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q ), - .prn(vcc)); +// Location: LABCELL_X56_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~15_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~16_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .lut_mask = 64'h008800CC00AA00FE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0 ( +// Location: LABCELL_X55_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0])) -// # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_cp_trig [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0 .lut_mask = 64'h5FCC5FCC5F005F00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .lut_mask = 64'h00000B0000000E00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y11_N53 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run ( +// Location: FF_X55_Y16_N43 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -83796,1236 +95128,1229 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29 ( +// Location: LABCELL_X55_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [0] ) + ( VCC ) + ( !VCC )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [0] ) + ( VCC ) + ( !VCC )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [0]), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29 .lut_mask = 64'h00000000000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y11_N32 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~29_sumout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .lut_mask = 64'h4040400050505000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25 ( +// Location: LABCELL_X55_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [1] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [1]), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine_fsm~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~30 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y11_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~25_sumout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [1]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .lut_mask = 64'hC000C000F0F0F000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21 ( +// Location: LABCELL_X55_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [2] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [2]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~26 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .lut_mask = 64'h0F0B0B0B0F0E0E0E; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[2] ( +// Location: FF_X55_Y16_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~21_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17 ( +// Location: LABCELL_X55_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q )) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [3]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~22 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0 .lut_mask = 64'hC000C000CC00CC00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y11_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[3] ( +// Location: FF_X43_Y18_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~17_sumout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13 ( +// Location: LABCELL_X42_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [2]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~18 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y11_N44 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~13_sumout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9 ( +// Location: LABCELL_X40_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 )) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27])) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27] & ( +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [5]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [27]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [27]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [27]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [27]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~14 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .lut_mask = 64'h00AA55FF1B1B1B1B; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y11_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[5] ( +// Location: FF_X40_Y14_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~9_sumout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~17_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [5]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[5] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [6]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~10 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~6 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y11_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[6] ( +// Location: FF_X50_Y15_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~5_sumout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [6]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[6] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X57_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~6 )) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [7]), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~6 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1_sumout ), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[27] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y11_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[7] ( +// Location: FF_X50_Y14_N4 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add2~1_sumout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [7]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0 ( +// Location: LABCELL_X48_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [7]) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.run~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.cnt [7]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.fin~q ), + .datab(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [27]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [27]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0 .lut_mask = 64'h000F000F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .lut_mask = 64'h00000F0F03030303; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y11_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc ( +// Location: FF_X45_Y14_N25 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|exc~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~17_combout ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc~q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[27] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1 ( +// Location: LABCELL_X40_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] ) ) ) +// \neorv32_top_inst|rdata_v~41_combout = ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]) ) ) ) # ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [27]), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [27]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a27 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout ), + .combout(\neorv32_top_inst|rdata_v~41_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1 .lut_mask = 64'h0F0F000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~41 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~41 .lut_mask = 64'hC0C0C0C0C0C00000; +defparam \neorv32_top_inst|rdata_v~41 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X38_Y19_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2 .lut_mask = 64'h0B010B0109010901; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X60_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] -// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0_combout ), - .sumout(), - .cout(), - .shareout()); +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X41_Y11_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [27]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0 .lut_mask = 64'h0000910000001100; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3 ( +// Location: LABCELL_X46_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~42 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0_combout )))) ) ) +// \neorv32_top_inst|rdata_v~42_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout & ( ((!\neorv32_top_inst|rdata_v~41_combout ) # +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ))) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout & ( (!\neorv32_top_inst|rdata_v~41_combout +// ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|cp_monitor.exc~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~2_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|rdata_v~41_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a3~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3_combout ), + .combout(\neorv32_top_inst|rdata_v~42_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3 .lut_mask = 64'h0000000088088808; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~42 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~42 .lut_mask = 64'hF0F3F0F3F5F7F5F7; +defparam \neorv32_top_inst|rdata_v~42 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 ( +// Location: LABCELL_X45_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder_combout = ( \neorv32_top_inst|rdata_v~42_combout ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~42_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .lut_mask = 64'hF3FBF3FBF3F3F3FB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y10_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y13_N58 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .lut_mask = 64'h00F800F000F400F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2 ( +// Location: MLABCELL_X47_Y13_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q )))) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ))))) +// ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][11]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][11]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[27]~7_combout ), + .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2 .lut_mask = 64'h0707F0F03737FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8 .lut_mask = 64'h02130213CEDFCEDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 ( +// Location: LABCELL_X50_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [5] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11]~3_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .lut_mask = 64'h00FF00FF005F005F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31 .lut_mask = 64'h00FF00FF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y9_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] ( +// Location: FF_X50_Y15_N52 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [4]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[11]~31_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0 ( +// Location: LABCELL_X53_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [20] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] +// & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal58~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [19]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0 .lut_mask = 64'h0020002000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .lut_mask = 64'h00080008A2AAA2AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[4]~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 ( +// Location: LABCELL_X57_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[27]~DUPLICATE_q ), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .lut_mask = 64'h0505050500000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .lut_mask = 64'h00000000CCCCCCCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|opa[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 ( +// Location: LABCELL_X43_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0_combout ) -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal27~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .lut_mask = 64'h0000000057555755; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .lut_mask = 64'h00003C3C30303C3C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]))) ) ) ) +// Location: FF_X43_Y14_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[25]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y14_N32 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~2_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0 .lut_mask = 64'h0000000800000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 ( +// Location: LABCELL_X42_Y14_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22])))) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal24~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[38]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .lut_mask = 64'h0000000012321232; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .lut_mask = 64'hD000D00000000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0 ( +// Location: LABCELL_X43_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [29] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0 .lut_mask = 64'h0004000400000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1 .lut_mask = 64'h00FF00FF00F000F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 ( +// Location: LABCELL_X43_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ) # +// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [23]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal18~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .lut_mask = 64'h0000A08800005088; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .lut_mask = 64'h03330333FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 ( +// Location: FF_X43_Y16_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [26])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .lut_mask = 64'h4454445400500050; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .lut_mask = 64'h0A5F0A5F0A4E0A4E; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 ( +// Location: FF_X43_Y16_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector4~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .lut_mask = 64'hA000A00000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .lut_mask = 64'h0800080000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ) -// ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [21]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal21~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal54~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y12_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~4_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .lut_mask = 64'h00CF00CF000F0005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 ( +// Location: LABCELL_X45_Y12_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7])) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ) ) ) # +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ) ) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ) ) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][0]~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][0]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .lut_mask = 64'h8080000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .lut_mask = 64'h0505050500003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 ( +// Location: LABCELL_X46_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), + .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .lut_mask = 64'h000F000F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .lut_mask = 64'h3333333300000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal5~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y13_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .lut_mask = 64'h0000000000DC00DC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [29]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y13_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0 .lut_mask = 64'h0050005000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 ( +// Location: MLABCELL_X47_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal11~0_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal51~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][17]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][17]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .lut_mask = 64'h00000000FFFAFFFA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y11_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout & -// ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y13_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .lut_mask = 64'h50D8008850500000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1 ( +// Location: LABCELL_X50_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ))))) -// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ))))) -// ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ))))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~9_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1 .lut_mask = 64'h2A082A2A2A082A08; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 ( +// Location: LABCELL_X42_Y19_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout -// ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|instr_il~3_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~1_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .lut_mask = 64'h4C00FF005F00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y11_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] ( +// Location: FF_X42_Y19_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 ( +// Location: LABCELL_X46_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )))))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout & +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~0_combout ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~77_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][17]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][17]~q ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .lut_mask = 64'hF3F3F3F3F3F3F3F3; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .lut_mask = 64'h1F331F331F3F1F3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 ( +// Location: MLABCELL_X47_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( -// \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~97_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .lut_mask = 64'h3333F3F333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .lut_mask = 64'h00F000F030F030F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y12_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT ( +// Location: FF_X47_Y16_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector77~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~11_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -85034,112 +96359,93 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engin .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 ( +// Location: LABCELL_X46_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .lut_mask = 64'h7272FAFA50505050; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .lut_mask = 64'hF000F00000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 ( +// Location: LABCELL_X46_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ) # (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0])) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .lut_mask = 64'hAFBF00330F3F0033; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .lut_mask = 64'h0000000055555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 ( +// Location: MLABCELL_X52_Y21_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q +// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_pnd [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mie_mti~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .lut_mask = 64'h0000FF000F000F00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .lut_mask = 64'h0303030303FF03FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y11_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ ( +// Location: FF_X52_Y21_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|irq_buf~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -85148,105 +96454,72 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engin .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0 ( +// Location: MLABCELL_X47_Y19_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_REQ~q ), - .datac(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [6]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.irq_buf [1]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0 .lut_mask = 64'h3333333300000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y12_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt.bus_req~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .lut_mask = 64'hC0C00000C0C00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 ( +// Location: MLABCELL_X47_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mstatus_mie~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .lut_mask = 64'hCC0CCC0C00000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y12_N37 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .lut_mask = 64'hAABAFFFFBABA0000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N28 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE ( +// Location: FF_X47_Y16_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -85255,762 +96528,864 @@ dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 ( +// Location: MLABCELL_X47_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .lut_mask = 64'h0F3F0F3F00000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .lut_mask = 64'h0000000000FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 ( +// Location: LABCELL_X50_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .lut_mask = 64'hF7F7F7F7FFFFFFFF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector1~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .lut_mask = 64'h4000400000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N2 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), - .prn(vcc)); +// Location: LABCELL_X51_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .lut_mask = 64'h005F0F00005F0200; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 ( +// Location: MLABCELL_X52_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_BUSY~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .lut_mask = 64'h555F555F000F000F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .lut_mask = 64'h2301230121012101; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 ( +// Location: LABCELL_X51_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout & ( ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector0~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .lut_mask = 64'hF7F7F7F7FF00FF00; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .lut_mask = 64'h00FF00FF00DF00DF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N50 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector0~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), - .prn(vcc)); +// Location: LABCELL_X51_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .lut_mask = 64'h0400FF300400FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 ( +// Location: LABCELL_X51_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .lut_mask = 64'hF0FFF0FF00000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .lut_mask = 64'h0C000C000C880C88; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 ( +// Location: LABCELL_X51_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q & \neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector3~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .lut_mask = 64'h00300030FFFFFFFF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector3~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .lut_mask = 64'h020002000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N19 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY ( +// Location: FF_X51_Y14_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector3~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici_nxt~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_busswitch_inst|Selector1~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici .power_up = "low"; +// synopsys translate_on + +// Location: FF_X52_Y16_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1 ( +// Location: MLABCELL_X52_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] +// & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .lut_mask = 64'h1000100030303030; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2 ( +// Location: MLABCELL_X52_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout & -// (((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0])) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.is_ici~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2 .lut_mask = 64'h4C7F4C7F007F007F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .lut_mask = 64'h0080008000880088; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N29 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), - .prn(vcc)); +// Location: LABCELL_X51_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]) # +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2 .lut_mask = 64'h33333333FBBBBFBB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 ( +// Location: MLABCELL_X52_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q & ( ((\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & -// \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q )) # (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal53~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .lut_mask = 64'h000F000F555F555F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .lut_mask = 64'hFF76FF7600000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|io_rden~0 ( +// Location: LABCELL_X53_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 ( // Equation(s): -// \neorv32_top_inst|io_rden~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9]~DUPLICATE_q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [11]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|io_rden~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|io_rden~0 .extended_lut = "off"; -defparam \neorv32_top_inst|io_rden~0 .lut_mask = 64'h0A000A00FF00FF00; -defparam \neorv32_top_inst|io_rden~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .lut_mask = 64'hA000000000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0 ( +// Location: MLABCELL_X52_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor2~combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal16~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0 .lut_mask = 64'h0000020000000000; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .lut_mask = 64'hFFFFFFFFFF5FFF5F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1 ( +// Location: LABCELL_X50_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout = ( \neorv32_top_inst|Equal0~1_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout & ( (!\neorv32_top_inst|io_rden~0_combout ) # -// ((!\neorv32_top_inst|Equal0~0_combout ) # ((!\neorv32_top_inst|Equal0~5_combout ) # (!\neorv32_top_inst|Equal0~2_combout ))) ) ) ) # ( !\neorv32_top_inst|Equal0~1_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout -// ) ) # ( \neorv32_top_inst|Equal0~1_combout & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout ) ) # ( !\neorv32_top_inst|Equal0~1_combout & ( -// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [28] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|io_rden~0_combout ), - .datab(!\neorv32_top_inst|Equal0~0_combout ), - .datac(!\neorv32_top_inst|Equal0~5_combout ), - .datad(!\neorv32_top_inst|Equal0~2_combout ), - .datae(!\neorv32_top_inst|Equal0~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [28]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[29]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1 .lut_mask = 64'hFFFFFFFFFFFFFFFE; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .lut_mask = 64'h000F000F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N20 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0]~feeder_combout ), - .asdata(\~GND~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), - .prn(vcc)); +// Location: LABCELL_X50_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .lut_mask = 64'h0000000050005000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 ( +// Location: LABCELL_X51_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .lut_mask = 64'h0F0F0F0F00000000; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y12_N37 -dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .lut_mask = 64'h000000000000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 ( +// Location: LABCELL_X50_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|ack_v~1_combout & ( (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & -// (!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( \neorv32_top_inst|ack_v~1_combout & ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( !\neorv32_top_inst|ack_v~1_combout & ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))))) ) ) - .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), - .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), - .dataf(!\neorv32_top_inst|ack_v~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal26~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .lut_mask = 64'hFF000000FF008080; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .lut_mask = 64'h0000000015501550; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N1 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), - .prn(vcc)); +// Location: LABCELL_X50_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal22~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[23]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|cnt_csr_we~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .lut_mask = 64'h0010000110101011; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 ( +// Location: LABCELL_X48_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout -// [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~3_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal56~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .lut_mask = 64'h00000000CC00CC00; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .lut_mask = 64'h02020202AAAAAAAA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N17 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[0] .power_up = "low"; -// synopsys translate_on +// Location: LABCELL_X51_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ) ) ) -// Location: FF_X62_Y12_N2 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ), - .prn(vcc)); + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [25]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [24]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1 .lut_mask = 64'h00F000F000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 ( +// Location: LABCELL_X50_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) ) # ( -// !\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] $ -// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [20] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [20]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal60~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [27]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .lut_mask = 64'hFCF3FCF3F0F0F0F0; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .lut_mask = 64'h0000000008000800; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N38 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1]~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), - .prn(vcc)); +// Location: LABCELL_X51_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout )) ) ) +// ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal17~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal29~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .lut_mask = 64'hFFFAAAAA00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 ( +// Location: LABCELL_X50_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1] & -// ((!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2])) # -// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]))))) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1] & -// (((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2])))) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~2_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .lut_mask = 64'hFFFFFFFF08770877; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .lut_mask = 64'h00000000C000C000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N46 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2]~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), - .prn(vcc)); +// Location: LABCELL_X50_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22] $ +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[21]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [22]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [26]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal15~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[20]~DUPLICATE_q ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .lut_mask = 64'h0F0F04010F0F0F03; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 ( +// Location: LABCELL_X50_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) # -// ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3] & ((\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]) # (\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [30] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout )) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( +// ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~7_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr_rw_check~1_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .lut_mask = 64'hF0F7F0F7F0FFF0FF; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .lut_mask = 64'h01010FFFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N44 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3]~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), - .prn(vcc)); +// Location: LABCELL_X51_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6])) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ))))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_instruction_check~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~8_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .lut_mask = 64'h13AAA0A013AAF5F5; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 ( +// Location: LABCELL_X50_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2] & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0] & (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout -// [3] & !\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [0]), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [3]), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [1]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.timeout [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux53~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .lut_mask = 64'hC000C00000000000; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .lut_mask = 64'h0000CF4F0000C545; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 ( +// Location: LABCELL_X51_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( \neorv32_top_inst|ack_v~1_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( \neorv32_top_inst|ack_v~1_combout & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ))) ) ) ) # ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( !\neorv32_top_inst|ack_v~1_combout & ( (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ) # -// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q & ( !\neorv32_top_inst|ack_v~1_combout & ( (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q & (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout & -// (\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q & !\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf +// [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), - .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), - .dataf(!\neorv32_top_inst|ack_v~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .lut_mask = 64'h0200F2F00200FAF0; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .lut_mask = 64'h5050F0F00000F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N31 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type ( +// Location: FF_X51_Y17_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|exc_buf~5_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -86019,2285 +97394,2347 @@ dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 ( +// Location: LABCELL_X53_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ) ) # ( -// !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]))) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18] +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.err_type~q ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [18]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [10]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .lut_mask = 64'h00FF00FF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y13_N25 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|err_type ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|err_type .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .lut_mask = 64'h00B000B040F040F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[3]~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 ( +// Location: MLABCELL_X59_Y19_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout & ( \neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|err_type~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .lut_mask = 64'h000000000000FFFF; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .lut_mask = 64'h0F0F00000F0FFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N8 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] ( +// Location: FF_X59_Y19_N56 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o~1_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux7~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|data_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [0]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [0]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [0]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y17_N17 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [25]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .lut_mask = 64'h3300550F33FF550F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y15_N13 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] ( +// Location: FF_X40_Y17_N16 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~2 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~2_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0] & ( (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & -// !\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0])) ) ) - - .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [0]), - .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|data_o [0]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y17_N16 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~2 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~2 .lut_mask = 64'h8080808000000000; -defparam \neorv32_top_inst|rdata_v~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0] ) - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [0]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y17_N59 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder ( +// Location: MLABCELL_X39_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0] +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] +// & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [0]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[25]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [25]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[25]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .lut_mask = 64'h22220A5F77770A5F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N10 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] ( +// Location: FF_X39_Y15_N1 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~19_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[25] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y15_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [0]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[25] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y13_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] ( +// Location: FF_X39_Y13_N38 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [0]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[25] .power_up = "low"; // synopsys translate_on -// Location: M10K_X69_Y16_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ), - .portare(vcc), - .portaaddrstall(gnd), - .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), - .portbaddrstall(gnd), - .clk0(\clk_i~inputCLKENA0_outclk ), - .clk1(gnd), - .ena0(vcc), - .ena1(vcc), - .ena2(vcc), - .ena3(vcc), - .clr0(gnd), - .clr1(gnd), - .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), - .portbbyteenamasks(1'b1), +// Location: FF_X50_Y17_N17 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), - .eccstatus(), - .dftout()); + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~3 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~3_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) # ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a5 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~3_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y17_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~3 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~3 .lut_mask = 64'h0000555533337777; -defparam \neorv32_top_inst|rdata_v~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[25] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~4 ( +// Location: LABCELL_X40_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 ( // Equation(s): -// \neorv32_top_inst|rdata_v~4_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( (!\neorv32_top_inst|rdata_v~2_combout ) # (((\neorv32_top_inst|rdata_v~3_combout ) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0])) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout )) ) ) # ( -// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & ( (!\neorv32_top_inst|rdata_v~2_combout ) # ((\neorv32_top_inst|rdata_v~3_combout ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0])) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25] & ( (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|rdata_v~2_combout ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [0]), - .datad(!\neorv32_top_inst|rdata_v~3_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [25]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [25]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~4_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~4 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~4 .lut_mask = 64'hAFFFAFFFBFFFBFFF; -defparam \neorv32_top_inst|rdata_v~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .lut_mask = 64'h0000444400007777; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[0] ( +// Location: FF_X40_Y13_N32 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~4_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~19_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [0]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[25] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y11_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [0]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), - .prn(vcc)); +// Location: MLABCELL_X39_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~45 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~45_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25] & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25] & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [25]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [25]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [25]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~45_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~45 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~45 .lut_mask = 64'hA0A0000000000000; +defparam \neorv32_top_inst|rdata_v~45 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y15_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~69_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), +// Location: M10K_X41_Y23_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [1]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; // synopsys translate_on -// Location: FF_X62_Y11_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), +// Location: M10K_X58_Y18_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [25]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 ( +// Location: LABCELL_X46_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~46 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] -// ) ) +// \neorv32_top_inst|rdata_v~46_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout +// & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a1~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .combout(\neorv32_top_inst|rdata_v~46_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~46 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~46 .lut_mask = 64'h000000FF0F0F0FFF; +defparam \neorv32_top_inst|rdata_v~46 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 ( +// Location: LABCELL_X46_Y12_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~47 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) ) ) +// \neorv32_top_inst|rdata_v~47_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( \neorv32_top_inst|rdata_v~46_combout ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( \neorv32_top_inst|rdata_v~46_combout ) ) # ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( !\neorv32_top_inst|rdata_v~46_combout & ( (!\neorv32_top_inst|rdata_v~45_combout ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 & ( !\neorv32_top_inst|rdata_v~46_combout & ( +// !\neorv32_top_inst|rdata_v~45_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .dataa(gnd), + .datab(!\neorv32_top_inst|rdata_v~45_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a25 ), + .dataf(!\neorv32_top_inst|rdata_v~46_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), + .combout(\neorv32_top_inst|rdata_v~47_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .lut_mask = 64'h0020002000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~47 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~47 .lut_mask = 64'hCCCCCCFFFFFFFFFF; +defparam \neorv32_top_inst|rdata_v~47 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 ( +// Location: MLABCELL_X47_Y12_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder_combout = ( \neorv32_top_inst|rdata_v~47_combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o.cpu_trap~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~47_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .lut_mask = 64'h00FFFFFF11FFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y11_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE ( +// Location: FF_X47_Y12_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~feeder_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y15_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 ( +// Location: FF_X46_Y12_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|rdata_v~47_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][9]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][9]~q ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .lut_mask = 64'hF0F0F0F0F0F00000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9 .lut_mask = 64'h0F0F0F0F33333333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 ( +// Location: LABCELL_X48_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~9_combout ), + .datab(gnd), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[25]~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .lut_mask = 64'hAAAA8888AAAAAAAA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .lut_mask = 64'h00005555FFFF5555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 ( +// Location: LABCELL_X50_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .lut_mask = 64'h0F000F00000F000F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109 .lut_mask = 64'h00FF515103CF4073; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 ( +// Location: LABCELL_X50_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .lut_mask = 64'h0000000084218421; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34 .lut_mask = 64'h000000004C4C4C4C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 ( +// Location: LABCELL_X50_Y14_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~109_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[9]~9_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~34_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .lut_mask = 64'h8421000000008421; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35 .lut_mask = 64'h087F087F085D085D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 ( +// Location: FF_X50_Y14_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[9]~35_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X53_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf +// [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [17]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .lut_mask = 64'h30303030B2B2B2B2; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .lut_mask = 64'h3333000053530000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [5]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [4]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [3]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [3]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X57_Y13_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .lut_mask = 64'h40DC0000FFFF40DC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2 ( +// Location: LABCELL_X43_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7] ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [7]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [31]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [7]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2 .lut_mask = 64'h0F0F0F0FF0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 ( +// Location: MLABCELL_X39_Y14_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]))) ) ) ) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & (\neorv32_top_inst|io_wren~2_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datab(!\neorv32_top_inst|io_wren~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .lut_mask = 64'h08AE08AE8AEF08AE; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22 .lut_mask = 64'h0000000000001010; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 ( +// Location: M10K_X41_Y8_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X43_Y12_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout )))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ))) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~17_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~12_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [1]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~16_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .lut_mask = 64'hE0E0E0E0A0E0A0E0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 ( +// Location: LABCELL_X42_Y12_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q )) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1] - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_unsigned~q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [1]), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .lut_mask = 64'h05000500000A000A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~9_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~4_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y12_N31 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .lut_mask = 64'hF0F0F0F0B020B0B0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]))) ) ) +// Location: FF_X43_Y12_N20 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [1]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[1] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X50_Y15_N32 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .lut_mask = 64'h9090909009090909; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X46_Y16_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .lut_mask = 64'h8400008421000021; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 ( +// Location: LABCELL_X45_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20])))) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~12_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [1]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .lut_mask = 64'h0000000040100401; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .lut_mask = 64'h0033003303030303; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y15_N7 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .lut_mask = 64'h8200008241000041; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 ( +// Location: MLABCELL_X39_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [1]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[1]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [1]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .lut_mask = 64'h00000000A00A5005; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .lut_mask = 64'h04C434F407C737F7; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X39_Y17_N31 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~1_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 ( +// Location: LABCELL_X43_Y12_N27 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), +// \neorv32_top_inst|rdata_v~3_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1] & +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) # +// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [1]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [1]), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a4 ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), + .combout(\neorv32_top_inst|rdata_v~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .lut_mask = 64'h8200008241000041; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~3 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~3 .lut_mask = 64'hA080A08000000000; +defparam \neorv32_top_inst|rdata_v~3 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X38_Y8_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3 ( +// Location: LABCELL_X45_Y12_N21 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16] ) ) +// \neorv32_top_inst|rdata_v~4_combout = ( \neorv32_top_inst|rdata_v~3_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|rdata_v~3_combout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout +// ) ) # ( \neorv32_top_inst|rdata_v~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) ) ) # ( +// !\neorv32_top_inst|rdata_v~3_combout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datae(!\neorv32_top_inst|rdata_v~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a1~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout ), + .combout(\neorv32_top_inst|rdata_v~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3 .lut_mask = 64'h00FF00FFFF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~4 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~4 .lut_mask = 64'hFFFF0505FFFF05FF; +defparam \neorv32_top_inst|rdata_v~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4 ( +// Location: LABCELL_X45_Y12_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder_combout = ( \neorv32_top_inst|rdata_v~4_combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), + .dataf(!\neorv32_top_inst|rdata_v~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4 .lut_mask = 64'h33333333CCCCCCCC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 ( +// Location: FF_X45_Y12_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & ( +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .lut_mask = 64'h8822000000008822; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1 .lut_mask = 64'h1D001D001DFF1DFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 ( +// Location: LABCELL_X48_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ))))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ))))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .lut_mask = 64'h8400008421000021; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36 .lut_mask = 64'h0F0C0F0C55471103; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 ( +// Location: LABCELL_X51_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ))) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .lut_mask = 64'hC030C0300C030C03; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37 .lut_mask = 64'h030F030F330F330F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 ( +// Location: LABCELL_X48_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27])))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~6_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~13_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~36_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~7_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~37_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .lut_mask = 64'h0000000000410041; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38 .lut_mask = 64'h078F078F058D058D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~14_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y15_N46 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[8]~38_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .lut_mask = 64'h0000000001000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 ( +// Location: LABCELL_X53_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]))) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8] +// & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .lut_mask = 64'h00FF00FFFF00FF00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .lut_mask = 64'h00200020AA2AAA2A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 ( +// Location: LABCELL_X50_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [8]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [8]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .lut_mask = 64'h0F0F0F0FF0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [6]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~1_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X50_Y16_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .lut_mask = 64'h4100000000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[16] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X72_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [25]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [24]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [26]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [26]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [25]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y18_N50 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .lut_mask = 64'h0C0C8E0C8E8ECF8E; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31])) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [30]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [31]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [30]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y18_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~13_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .lut_mask = 64'h30003000F3F0F3F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 ( +// Location: LABCELL_X37_Y17_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29])) ) ) ) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [28]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [28]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [29]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~3_combout ), +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & +// ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ))))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16] & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ))))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[16]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .lut_mask = 64'h0CCF0C0CCFCF0CCF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .lut_mask = 64'h220A770A225F775F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [27]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~5_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~4_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~3_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X37_Y17_N37 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .lut_mask = 64'h0000333300003BBF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 ( +// Location: MLABCELL_X39_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .lut_mask = 64'h40404040F4F4F4F4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20])) ) ) ) +// Location: FF_X39_Y13_N43 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [22]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [22]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [20]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [23]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [23]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [20]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y15_N23 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .lut_mask = 64'h2020B020F2F2FBF2; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [19]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [18]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [19]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [17]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [18]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [17]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y15_N49 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .lut_mask = 64'h4000DC00FF40FFDC; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 ( +// Location: LABCELL_X45_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~15_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~14_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~7_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [21]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~2_combout ), +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16])) ) ) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [16]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [16]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .lut_mask = 64'hF0F0F0F080A0A080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .lut_mask = 64'h0030003003330333; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15])))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X45_Y15_N10 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~3_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .lut_mask = 64'hDD00DDDD0D000D0D; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[16] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 ( +// Location: LABCELL_X45_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~8 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]) # -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]) ) ) ) +// \neorv32_top_inst|rdata_v~8_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16] & ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [14]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [13]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [15]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [15]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [13]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [16]), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), + .combout(\neorv32_top_inst|rdata_v~8_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .lut_mask = 64'h3B33BFBB02002322; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~8 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~8 .lut_mask = 64'hC0C0000000000000; +defparam \neorv32_top_inst|rdata_v~8 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [10]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [12]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [11]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [12]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X49_Y16_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .lut_mask = 64'h2BAF0A2B0AAF0A0A; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~19_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [16]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~18_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~9_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X41_Y16_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [16]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .lut_mask = 64'hFFFFEF0000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_first_bit_number = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 ( +// Location: LABCELL_X48_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout ) ) ) ) +// \neorv32_top_inst|rdata_v~9_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout )) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) # ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~4_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~6_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~8_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Equal0~3_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~10_combout ), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), + .combout(\neorv32_top_inst|rdata_v~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .lut_mask = 64'h00000000FF5DFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~9 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~9 .lut_mask = 64'h005500550F5F0F5F; +defparam \neorv32_top_inst|rdata_v~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 ( +// Location: LABCELL_X48_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~10 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) # -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & ( -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout )) ) ) ) +// \neorv32_top_inst|rdata_v~10_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 & ( ((!\neorv32_top_inst|rdata_v~8_combout ) # (\neorv32_top_inst|rdata_v~9_combout )) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 & ( (!\neorv32_top_inst|rdata_v~8_combout ) # +// (\neorv32_top_inst|rdata_v~9_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~5_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~13_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~8_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|LessThan0~11_combout ), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|rdata_v~8_combout ), + .datad(!\neorv32_top_inst|rdata_v~9_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .combout(\neorv32_top_inst|rdata_v~10_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .lut_mask = 64'hF4F4F5FFF4F4F4FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~10 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~10 .lut_mask = 64'hF0FFF0FFF5FFF5FF; +defparam \neorv32_top_inst|rdata_v~10 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y16_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~10_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .lut_mask = 64'h000EFFFF000DFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0] .power_up = "low"; // synopsys translate_on -// Location: FF_X71_Y12_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART ( +// Location: FF_X48_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~10_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 ( +// Location: LABCELL_X48_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) # -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][0]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][0]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .lut_mask = 64'hAAAAAAFEAAAAAAEF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X71_Y12_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 ( +// Location: LABCELL_X50_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|rdata_o[0]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .lut_mask = 64'hF0F0A0A0F020A020; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 ( +// Location: LABCELL_X50_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ) ) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .lut_mask = 64'hA000A000A000F0C0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X70_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 ( +// Location: LABCELL_X48_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ))))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ))))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), - .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .extended_lut = "on"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .lut_mask = 64'h00FE000E00FB000B; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X70_Y12_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113 .lut_mask = 64'h02020F0F00020F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 ( +// Location: LABCELL_X48_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~113_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .lut_mask = 64'hF050F050F0A0F0A0; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29 .lut_mask = 64'h0A000A000AF00AF0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 ( +// Location: LABCELL_X61_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) # -// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~29_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux39~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .lut_mask = 64'hFF0FFF0F00000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .lut_mask = 64'h3737373737773777; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y12_N19 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE ( +// Location: FF_X61_Y16_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[13]~30_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 ( +// Location: MLABCELL_X52_Y16_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(gnd), - .datad(gnd), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|decode_helper~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .lut_mask = 64'h3333333322222222; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .lut_mask = 64'hFF00FF000F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0 ( +// Location: MLABCELL_X52_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [4] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0 .lut_mask = 64'h00000000A0A0A0A0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .lut_mask = 64'h0011001100550055; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 ( +// Location: MLABCELL_X47_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .lut_mask = 64'h000000008A8A8080; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .lut_mask = 64'h0000000005000500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 ( +// Location: MLABCELL_X52_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) +// # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .lut_mask = 64'h0000030200000301; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .lut_mask = 64'hFCFCFCFC54005400; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y12_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector3~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), - .prn(vcc)); +// Location: MLABCELL_X52_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~3_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4 .lut_mask = 64'hFFFFFFFF7F777F77; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X70_Y12_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE ( +// Location: FF_X52_Y16_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector1~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector83~4_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -88306,181 +99743,139 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine. .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0 ( +// Location: LABCELL_X53_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1])))) ) ) +// # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0 .lut_mask = 64'hA000A0A0A000A000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X66_Y14_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X67_Y11_N56 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .lut_mask = 64'h04000400BF00BF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] ( +// Location: FF_X57_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[4] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27 ( +// Location: LABCELL_X42_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7])))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]))))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [7]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27 .lut_mask = 64'h555555551D0F1D0F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38 .lut_mask = 64'h555500005555FFFF; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2 ( +// Location: LABCELL_X42_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ))) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2 .lut_mask = 64'h0000000000020002; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .lut_mask = 64'h0000000800000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3 ( +// Location: MLABCELL_X39_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3_combout = (\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout & !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~2_combout ), - .datad(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3 .lut_mask = 64'h0F000F000F000F00; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .lut_mask = 64'h0F0F0F0F00000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y12_N23 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o ( +// Location: FF_X39_Y16_N28 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~3_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -88489,381 +99884,394 @@ dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 ( +// Location: MLABCELL_X47_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout = ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout = ( !\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( \neorv32_top_inst|ack_v~0_combout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q +// & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q & !\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q )) ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), + .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), + .datae(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|ack_v~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .lut_mask = 64'h00FF00FF00000000; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y12_N29 -dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~1_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .lut_mask = 64'h00000000A0000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 ( +// Location: LABCELL_X43_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~0_combout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.unaligned~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0 .lut_mask = 64'hFFFFFFFF20002000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y12_N14 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o ( +// Location: FF_X43_Y16_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|ack_v~0 ( +// Location: LABCELL_X43_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 ( // Equation(s): -// \neorv32_top_inst|ack_v~0_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q & -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & (!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q & !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|ack_o~q ), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~q ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|ack_o~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [0]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|ack_o~q ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|ack_v~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|ack_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|ack_v~0 .lut_mask = 64'h8000800000000000; -defparam \neorv32_top_inst|ack_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h5500550055005500; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout = ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q & ( (\neorv32_top_inst|ack_v~0_combout & (!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q & !\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ))) ) ) - - .dataa(!\neorv32_top_inst|ack_v~0_combout ), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~q ), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ack_o~q ), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|ack_o~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|ack_o~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X43_Y16_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .lut_mask = 64'h4000400000000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 ( +// Location: LABCELL_X43_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q -// & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .lut_mask = 64'h0F0F3F3F0F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .lut_mask = 64'h000F000F0F000F00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y12_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING ( +// Location: FF_X43_Y16_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector2~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~2_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), - .prn(vcc)); +// Location: LABCELL_X43_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] $ +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt [1]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [1]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1 .lut_mask = 64'h5FAF5FAFF5FAF5FA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 ( +// Location: LABCELL_X48_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .lut_mask = 64'h1000100011511151; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] ( +// Location: FF_X52_Y17_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 ( +// Location: MLABCELL_X52_Y17_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 )) - - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] +// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .lut_mask = 64'h0000FFFF00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .lut_mask = 64'h080A000308000808; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] ( +// Location: FF_X56_Y15_N1 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux38~0_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [28]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X57_Y14_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[24] .power_up = "low"; // synopsys translate_on -// Location: FF_X66_Y14_N11 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] ( +// Location: FF_X43_Y17_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~9_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[24]~_wirecell_combout ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 ( +// Location: LABCELL_X42_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8] & !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] & \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE_q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [8]), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [5]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [24]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[24]~DUPLICATE_q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .lut_mask = 64'h0000050530303535; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 ( +// Location: MLABCELL_X39_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout & ( \neorv32_top_inst|io_wren~combout & ( (\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout & -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ) ) ) ) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|ack_o~1_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ), - .dataf(!\neorv32_top_inst|io_wren~combout ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .lut_mask = 64'h0000000000000033; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|wren~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .lut_mask = 64'h0000000000400040; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y14_N7 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|err_o ( +// Location: FF_X39_Y14_N4 +dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|wren~0_combout ), + .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), @@ -88872,4122 +100280,5037 @@ dffeas \neorv32_top_inst|neorv32_sysinfo_inst|err_o ( .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), + .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|err_o .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q & ( ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ) # -// (\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout )) # (\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|err_o~q ), - .datab(!\neorv32_top_inst|neorv32_bus_keeper_inst|tmp_v~0_combout ), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|err_o~q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.pending~q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: M10K_X41_Y20_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .lut_mask = 64'h000000007F7F7F7F; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X38_Y24_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_first_bit_number = 2; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: FF_X47_Y15_N34 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y12_N13 -dffeas \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE ( +// Location: FF_X43_Y17_N58 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_bus_keeper_inst|control~0_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(vcc), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 ( +// Location: MLABCELL_X39_Y14_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout = ( \neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [26]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_bus_keeper_inst|control.bus_err~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .lut_mask = 64'h0000000000FF00FF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .lut_mask = 64'h0005000500AF00AF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[17] ( +// Location: FF_X39_Y14_N10 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|cb_bus_err_o~0_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~15_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [17]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3 ( +// Location: LABCELL_X40_Y12_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [17] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [16]))) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [17]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.env_start~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.sleep~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [16]), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_fire~combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3 .lut_mask = 64'h0000000080008000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 ( +// Location: FF_X40_Y12_N32 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[26] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout )) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~3_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [26]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [26]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [26]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [26]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .lut_mask = 64'h11DD11DD00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .lut_mask = 64'h447744770303CFCF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y11_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE ( +// Location: FF_X40_Y14_N55 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~15_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[26] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y11_N14 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), - .prn(vcc)); +// Location: LABCELL_X40_Y12_N12 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~37 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~37_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26] & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26] & ( +// (!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [26]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [26]), + .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a26 ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [26]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~37_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~37 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~37 .lut_mask = 64'h8888808000000000; +defparam \neorv32_top_inst|rdata_v~37 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 ( +// Location: LABCELL_X46_Y12_N48 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~38 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ) ) ) ) +// \neorv32_top_inst|rdata_v~38_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( \neorv32_top_inst|rdata_v~37_combout & ( +// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( +// \neorv32_top_inst|rdata_v~37_combout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ) ) ) ) # +// ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( !\neorv32_top_inst|rdata_v~37_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout & ( !\neorv32_top_inst|rdata_v~37_combout ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux48~0_combout ), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~37_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), + .combout(\neorv32_top_inst|rdata_v~38_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .lut_mask = 64'h0000C0C03030F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~38 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~38 .lut_mask = 64'hFFFFFFFF03035757; +defparam \neorv32_top_inst|rdata_v~38 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y11_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE ( +// Location: FF_X46_Y12_N50 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector75~0_combout ), + .d(\neorv32_top_inst|rdata_v~38_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y11_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 ( +// Location: MLABCELL_X47_Y12_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) ) +// ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q )) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][10]~q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][10]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[26]~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .lut_mask = 64'h3000300000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7 .lut_mask = 64'h02021313CECEDFDF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 ( +// Location: LABCELL_X48_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal13~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [21]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal14~2_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .lut_mask = 64'hFFFCFFFC00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .lut_mask = 64'h0C0C0C0CCC4CCC4C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y9_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1 ( +// Location: LABCELL_X48_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout -// ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout )) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector79~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[8]~6_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[10]~7_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[11]~8_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1 .lut_mask = 64'h0000FFFF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y9_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2 .lut_mask = 64'h111B1111111B111B; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 ( +// Location: LABCELL_X48_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~2_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .lut_mask = 64'h00AA00AA55FF55FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3 .lut_mask = 64'h00CC00CCF0FCF0FC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] ( +// Location: FF_X46_Y13_N32 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~23_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder ( +// Location: FF_X46_Y13_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~23_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q +// & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ) ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][14]~q ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][14]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .lut_mask = 64'h0F0F0F0F0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y11_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] ( +// Location: FF_X47_Y13_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~25_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~102 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder ( +// Location: MLABCELL_X47_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~105_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80 .lut_mask = 64'h03530353A3F3A3F3; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 ( +// Location: LABCELL_X48_Y15_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout & ( +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout )) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [3]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux23~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [3]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~80_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .lut_mask = 64'h00F000F00FFF0FFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81 .lut_mask = 64'h000A000A555F555F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] ( +// Location: FF_X48_Y15_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector64~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~81_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[30] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder ( +// Location: MLABCELL_X52_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [13] & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [3]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .lut_mask = 64'h00003C7C0000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] ( +// Location: FF_X52_Y16_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[24] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~106 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), - .shareout()); +// Location: FF_X57_Y16_N44 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~13_sumout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder ( +// Location: LABCELL_X42_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3] ) ) # ( +// !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~85_sumout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .lut_mask = 64'h00FF00FF0F0F0F0F; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X52_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 ( +// Location: MLABCELL_X39_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout = (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [4]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [4]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), + .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .lut_mask = 64'h00CC00CC00CC00CC; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y11_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] ( +// Location: FF_X39_Y16_N19 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector63~0_combout ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~2_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[25] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X36_Y17_N32 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder ( +// Location: LABCELL_X36_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4] +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22])) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [4]), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [22]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [22]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [22]), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .lut_mask = 64'h5050303F5F5F303F; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y11_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] ( +// Location: FF_X36_Y17_N43 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~109_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~31_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 )) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~86 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), - .shareout()); +// Location: FF_X42_Y13_N44 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[23]~1_combout ), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X63_Y3_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~89_sumout ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X40_Y17_N56 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 ( +// Location: LABCELL_X51_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22] ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [5]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .lut_mask = 64'h0000AAAA5555FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y3_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] ( +// Location: FF_X51_Y17_N26 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector62~0_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22]~feeder_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder ( +// Location: LABCELL_X40_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5] +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout = (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22])))) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [5]), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [22]), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [22]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .lut_mask = 64'h0145014501450145; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y11_N26 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] ( +// Location: FF_X40_Y13_N43 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~117_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~31_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[22] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 ( +// Location: LABCELL_X42_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~76 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 )) +// \neorv32_top_inst|rdata_v~76_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22] & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [22]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [22]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [22]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~90 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), + .combout(\neorv32_top_inst|rdata_v~76_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~76 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~76 .lut_mask = 64'hCC00000000000000; +defparam \neorv32_top_inst|rdata_v~76 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y3_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ) +// Location: M10K_X58_Y12_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X38_Y6_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [22]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X48_Y12_N57 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~77 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~77_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout & ( (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout +// & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~93_sumout ), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a6~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~77_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~77 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~77 .lut_mask = 64'h000055550F0F5F5F; +defparam \neorv32_top_inst|rdata_v~77 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y7_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 ( +// Location: MLABCELL_X47_Y14_N51 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~78 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|rdata_v~78_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( \neorv32_top_inst|rdata_v~77_combout ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( \neorv32_top_inst|rdata_v~77_combout ) ) # ( +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( !\neorv32_top_inst|rdata_v~77_combout & ( (!\neorv32_top_inst|rdata_v~76_combout ) # +// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 & ( !\neorv32_top_inst|rdata_v~77_combout & ( +// !\neorv32_top_inst|rdata_v~76_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|rdata_v~76_combout ), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [6]), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a22 ), + .dataf(!\neorv32_top_inst|rdata_v~77_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), + .combout(\neorv32_top_inst|rdata_v~78_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .lut_mask = 64'h0000AAAA5555FFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~78 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~78 .lut_mask = 64'hF0F0F3F3FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~78 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y3_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] ( +// Location: FF_X47_Y14_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector61~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|rdata_v~78_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder ( +// Location: MLABCELL_X47_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ))))) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][6]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][6]~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[22]~18_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15 .lut_mask = 64'h04150415AEBFAEBF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y14_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26 .lut_mask = 64'h01000D0001440DCC; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X50_Y14_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datab(gnd), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27 .lut_mask = 64'h00550055AAFFAAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y11_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE ( +// Location: FF_X50_Y14_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~113_sumout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[6]~27_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[6]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 ( +// Location: LABCELL_X50_Y19_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ) + ( GND ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~94 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .lut_mask = 64'h0000FFFF000000FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .lut_mask = 64'h4404440444044404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder ( +// Location: LABCELL_X50_Y19_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [5]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~97_sumout ), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .lut_mask = 64'h000000000001FF01; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 ( +// Location: FF_X50_Y19_N26 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X51_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7])) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21] = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [7]), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [7]), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .lut_mask = 64'h11BB11BB11BB11BB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .lut_mask = 64'h0F0F0F0FFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt[21] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] ( +// Location: FF_X51_Y16_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector60~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_nxt [21]), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[21] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder ( +// Location: MLABCELL_X47_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout = ( \neorv32_top_inst|rdata_v~20_combout ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [7]), + .dataf(!\neorv32_top_inst|rdata_v~20_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y11_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE ( +// Location: FF_X47_Y14_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~121_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[7]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 ( +// Location: LABCELL_X55_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31])))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [22]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~98 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .lut_mask = 64'h5E0E5E0E54045404; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder ( +// Location: LABCELL_X57_Y18_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout - - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~57_sumout ), - .datae(gnd), - .dataf(gnd), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31])))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24] $ +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ))))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [25]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [24]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[28]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .lut_mask = 64'h00FF00FF00FF00FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .lut_mask = 64'h000E666DEE0EDD6D; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y10_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 ( +// Location: FF_X59_Y13_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter~32_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [33]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.cnt[0]~2_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X62_Y18_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[3]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X62_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8] ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [8]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .lut_mask = 64'h00FF00FF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .lut_mask = 64'h05AF05AF00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] ( +// Location: FF_X61_Y14_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector59~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul~31_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.start~combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder ( +// Location: LABCELL_X62_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31] ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [8]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod[63]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[11]~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y11_N52 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~125_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[8] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .lut_mask = 64'h00FF44440F0F4444; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 ( +// Location: LABCELL_X62_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout = SUM(( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]))))) ) + ( GND ) + ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient [31]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~58 ), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .lut_mask = 64'h0000FFFF00005555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .lut_mask = 64'h0000FFFF0000FB40; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder ( +// Location: LABCELL_X62_Y13_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ) ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~61_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .lut_mask = 64'h0303030303033333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 ( +// Location: LABCELL_X62_Y13_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [9]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [9]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[22]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg[31]~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [21]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .lut_mask = 64'hA080A08000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector58~0_combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), - .prn(vcc)); +// Location: LABCELL_X57_Y13_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[26]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~53_sumout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .lut_mask = 64'hAAAA0202AAAA02AA; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder ( +// Location: MLABCELL_X59_Y19_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]) ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .datac(gnd), - .datad(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [9]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [21]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .lut_mask = 64'h00CC00CC33FF33FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y10_N1 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] ( +// Location: FF_X59_Y19_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~97_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux6~0_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [5]), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 )) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~62 ), - .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), - .shareout()); +// Location: FF_X47_Y15_N2 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [26]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[26] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder ( +// Location: MLABCELL_X47_Y17_N0 +cyclonev_lcell_comb \neorv32_top_inst|Add0~29 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout +// \neorv32_top_inst|Add0~29_sumout = SUM(( \neorv32_top_inst|clk_div [0] ) + ( VCC ) + ( !VCC )) +// \neorv32_top_inst|Add0~30 = CARRY(( \neorv32_top_inst|clk_div [0] ) + ( VCC ) + ( !VCC )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~65_sumout ), + .datac(!\neorv32_top_inst|clk_div [0]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~29_sumout ), + .cout(\neorv32_top_inst|Add0~30 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~29 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~29 .lut_mask = 64'h0000000000000F0F; +defparam \neorv32_top_inst|Add0~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y10_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10] ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10] ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [10]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [10]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y17_N37 +dffeas \neorv32_top_inst|clk_gen_en_ff ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_gen_en_ff~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .lut_mask = 64'h00FF00FF0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0 .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen_en_ff .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_gen_en_ff .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y9_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] ( +// Location: FF_X47_Y17_N2 +dffeas \neorv32_top_inst|clk_div[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector57~0_combout ), + .d(\neorv32_top_inst|Add0~29_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), + .q(\neorv32_top_inst|clk_div [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[10] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder ( +// Location: MLABCELL_X47_Y17_N3 +cyclonev_lcell_comb \neorv32_top_inst|Add0~25 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10] ) +// \neorv32_top_inst|Add0~25_sumout = SUM(( \neorv32_top_inst|clk_div [1] ) + ( GND ) + ( \neorv32_top_inst|Add0~30 )) +// \neorv32_top_inst|Add0~26 = CARRY(( \neorv32_top_inst|clk_div [1] ) + ( GND ) + ( \neorv32_top_inst|Add0~30 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|clk_div [1]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [10]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~30 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~25_sumout ), + .cout(\neorv32_top_inst|Add0~26 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~25 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~25 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|Add0~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y11_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] ( +// Location: FF_X47_Y17_N5 +dffeas \neorv32_top_inst|clk_div[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~101_sumout ), + .d(\neorv32_top_inst|Add0~25_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), + .q(\neorv32_top_inst|clk_div [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[10] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 ( +// Location: MLABCELL_X47_Y17_N6 +cyclonev_lcell_comb \neorv32_top_inst|Add0~33 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 )) +// \neorv32_top_inst|Add0~33_sumout = SUM(( \neorv32_top_inst|clk_div [2] ) + ( GND ) + ( \neorv32_top_inst|Add0~26 )) +// \neorv32_top_inst|Add0~34 = CARRY(( \neorv32_top_inst|clk_div [2] ) + ( GND ) + ( \neorv32_top_inst|Add0~26 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .datac(!\neorv32_top_inst|clk_div [2]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~66 ), + .cin(\neorv32_top_inst|Add0~26 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), + .sumout(\neorv32_top_inst|Add0~33_sumout ), + .cout(\neorv32_top_inst|Add0~34 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69 .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~33 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~33 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|Add0~33 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder ( +// Location: FF_X47_Y17_N7 +dffeas \neorv32_top_inst|clk_div[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~33_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[2] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|Add0~45 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ) +// \neorv32_top_inst|Add0~45_sumout = SUM(( \neorv32_top_inst|clk_div [3] ) + ( GND ) + ( \neorv32_top_inst|Add0~34 )) +// \neorv32_top_inst|Add0~46 = CARRY(( \neorv32_top_inst|clk_div [3] ) + ( GND ) + ( \neorv32_top_inst|Add0~34 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|clk_div [3]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~69_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~34 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~45_sumout ), + .cout(\neorv32_top_inst|Add0~46 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~45 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~45 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|Add0~45 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y7_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 ( +// Location: FF_X47_Y17_N11 +dffeas \neorv32_top_inst|clk_div[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~45_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[3] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N12 +cyclonev_lcell_comb \neorv32_top_inst|Add0~41 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|Add0~41_sumout = SUM(( \neorv32_top_inst|clk_div [4] ) + ( GND ) + ( \neorv32_top_inst|Add0~46 )) +// \neorv32_top_inst|Add0~42 = CARRY(( \neorv32_top_inst|clk_div [4] ) + ( GND ) + ( \neorv32_top_inst|Add0~46 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datab(!\neorv32_top_inst|clk_div [4]), + .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [11]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [11]), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~46 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~41_sumout ), + .cout(\neorv32_top_inst|Add0~42 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0 .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~41 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~41 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|Add0~41 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N17 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] ( +// Location: FF_X47_Y17_N14 +dffeas \neorv32_top_inst|clk_div[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector56~0_combout ), + .d(\neorv32_top_inst|Add0~41_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), + .q(\neorv32_top_inst|clk_div [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[11] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[4] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder ( +// Location: MLABCELL_X47_Y17_N15 +cyclonev_lcell_comb \neorv32_top_inst|Add0~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11] ) +// \neorv32_top_inst|Add0~21_sumout = SUM(( \neorv32_top_inst|clk_div [5] ) + ( GND ) + ( \neorv32_top_inst|Add0~42 )) +// \neorv32_top_inst|Add0~22 = CARRY(( \neorv32_top_inst|clk_div [5] ) + ( GND ) + ( \neorv32_top_inst|Add0~42 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|clk_div [5]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [11]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~42 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~21_sumout ), + .cout(\neorv32_top_inst|Add0~22 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~21 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~21 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|Add0~21 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y11_N22 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] ( +// Location: FF_X47_Y17_N17 +dffeas \neorv32_top_inst|clk_div[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~105_sumout ), + .d(\neorv32_top_inst|Add0~21_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .q(\neorv32_top_inst|clk_div [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[11] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 ( +// Location: MLABCELL_X47_Y17_N18 +cyclonev_lcell_comb \neorv32_top_inst|Add0~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 )) +// \neorv32_top_inst|Add0~9_sumout = SUM(( \neorv32_top_inst|clk_div [6] ) + ( GND ) + ( \neorv32_top_inst|Add0~22 )) +// \neorv32_top_inst|Add0~10 = CARRY(( \neorv32_top_inst|clk_div [6] ) + ( GND ) + ( \neorv32_top_inst|Add0~22 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), + .datac(!\neorv32_top_inst|clk_div [6]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~70 ), + .cin(\neorv32_top_inst|Add0~22 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), + .sumout(\neorv32_top_inst|Add0~9_sumout ), + .cout(\neorv32_top_inst|Add0~10 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73 .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~9 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~9 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|Add0~9 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X47_Y17_N20 +dffeas \neorv32_top_inst|clk_div[6] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~9_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [6]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder ( +// Location: MLABCELL_X47_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|Add0~37 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ) +// \neorv32_top_inst|Add0~37_sumout = SUM(( \neorv32_top_inst|clk_div [7] ) + ( GND ) + ( \neorv32_top_inst|Add0~10 )) +// \neorv32_top_inst|Add0~38 = CARRY(( \neorv32_top_inst|clk_div [7] ) + ( GND ) + ( \neorv32_top_inst|Add0~10 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|clk_div [7]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~73_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~10 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~37_sumout ), + .cout(\neorv32_top_inst|Add0~38 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~37 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~37 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|Add0~37 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y8_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 ( +// Location: FF_X47_Y17_N23 +dffeas \neorv32_top_inst|clk_div[7] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~37_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [7]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[7] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N24 +cyclonev_lcell_comb \neorv32_top_inst|Add0~17 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12] & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|Add0~17_sumout = SUM(( \neorv32_top_inst|clk_div [8] ) + ( GND ) + ( \neorv32_top_inst|Add0~38 )) +// \neorv32_top_inst|Add0~18 = CARRY(( \neorv32_top_inst|clk_div [8] ) + ( GND ) + ( \neorv32_top_inst|Add0~38 )) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datac(!\neorv32_top_inst|clk_div [8]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [12]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~38 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~17_sumout ), + .cout(\neorv32_top_inst|Add0~18 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .lut_mask = 64'h000F000FFF0FFF0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0 .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~17 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~17 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|Add0~17 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] ( +// Location: FF_X47_Y17_N26 +dffeas \neorv32_top_inst|clk_div[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector55~0_combout ), + .d(\neorv32_top_inst|Add0~17_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), + .q(\neorv32_top_inst|clk_div [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[12] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder ( +// Location: MLABCELL_X47_Y17_N27 +cyclonev_lcell_comb \neorv32_top_inst|Add0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12] +// \neorv32_top_inst|Add0~1_sumout = SUM(( \neorv32_top_inst|clk_div [9] ) + ( GND ) + ( \neorv32_top_inst|Add0~18 )) +// \neorv32_top_inst|Add0~2 = CARRY(( \neorv32_top_inst|clk_div [9] ) + ( GND ) + ( \neorv32_top_inst|Add0~18 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|clk_div [9]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [12]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~18 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~1_sumout ), + .cout(\neorv32_top_inst|Add0~2 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~1 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|Add0~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N46 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] ( +// Location: FF_X47_Y17_N29 +dffeas \neorv32_top_inst|clk_div[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~17_sumout ), + .d(\neorv32_top_inst|Add0~1_sumout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), + .q(\neorv32_top_inst|clk_div [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[12] .power_up = "low"; +defparam \neorv32_top_inst|clk_div[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 ( +// Location: MLABCELL_X47_Y17_N30 +cyclonev_lcell_comb \neorv32_top_inst|Add0~13 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 )) +// \neorv32_top_inst|Add0~13_sumout = SUM(( \neorv32_top_inst|clk_div [10] ) + ( GND ) + ( \neorv32_top_inst|Add0~2 )) +// \neorv32_top_inst|Add0~14 = CARRY(( \neorv32_top_inst|clk_div [10] ) + ( GND ) + ( \neorv32_top_inst|Add0~2 )) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), + .datab(!\neorv32_top_inst|clk_div [10]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~74 ), + .cin(\neorv32_top_inst|Add0~2 ), .sharein(gnd), .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), + .sumout(\neorv32_top_inst|Add0~13_sumout ), + .cout(\neorv32_top_inst|Add0~14 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77 .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~13 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~13 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|Add0~13 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y6_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder ( +// Location: FF_X47_Y17_N32 +dffeas \neorv32_top_inst|clk_div[10] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~13_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [10]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[10] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N33 +cyclonev_lcell_comb \neorv32_top_inst|Add0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ) +// \neorv32_top_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|clk_div[11]~DUPLICATE_q ) + ( GND ) + ( \neorv32_top_inst|Add0~14 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|clk_div[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~77_sumout ), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|Add0~14 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ), - .sumout(), + .combout(), + .sumout(\neorv32_top_inst|Add0~5_sumout ), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|Add0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|Add0~5 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N9 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13] ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13] ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) +// Location: FF_X47_Y17_N35 +dffeas \neorv32_top_inst|clk_div[11]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~5_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div[11]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[11]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[11]~DUPLICATE .power_up = "low"; +// synopsys translate_on - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [13]), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [13]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X47_Y17_N34 +dffeas \neorv32_top_inst|clk_div[11] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|Add0~5_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div [11]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .lut_mask = 64'h0000FFFF55555555; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0 .shared_arith = "off"; +defparam \neorv32_top_inst|clk_div[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[11] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y6_N13 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] ( +// Location: FF_X47_Y17_N53 +dffeas \neorv32_top_inst|clk_div_ff[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector54~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [11]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), + .q(\neorv32_top_inst|clk_div_ff [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[13] .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y10_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder ( +// Location: MLABCELL_X47_Y17_N51 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[7] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13] ) +// \neorv32_top_inst|clk_gen [7] = ( \neorv32_top_inst|clk_div_ff [11] ) # ( !\neorv32_top_inst|clk_div_ff [11] & ( !\neorv32_top_inst|clk_div[11]~DUPLICATE_q ) ) - .dataa(gnd), + .dataa(!\neorv32_top_inst|clk_div[11]~DUPLICATE_q ), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [13]), + .dataf(!\neorv32_top_inst|clk_div_ff [11]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ), + .combout(\neorv32_top_inst|clk_gen [7]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[7] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[7] .lut_mask = 64'hAAAAAAAAFFFFFFFF; +defparam \neorv32_top_inst|clk_gen[7] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y10_N58 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] ( +// Location: FF_X47_Y15_N25 +dffeas \neorv32_top_inst|clk_div_ff[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~85_sumout ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [13]), + .q(\neorv32_top_inst|clk_div_ff [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13] .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y9_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 ( +// Location: MLABCELL_X47_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[6] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 )) -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 )) +// \neorv32_top_inst|clk_gen [6] = ( \neorv32_top_inst|clk_div_ff [10] ) # ( !\neorv32_top_inst|clk_div_ff [10] & ( !\neorv32_top_inst|clk_div [10] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .datac(!\neorv32_top_inst|clk_div [10]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|clk_div_ff [10]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~78 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), - .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~82 ), + .combout(\neorv32_top_inst|clk_gen [6]), + .sumout(), + .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .lut_mask = 64'h0000FFFF00000F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81 .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[6] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[6] .lut_mask = 64'hF0F0F0F0FFFFFFFF; +defparam \neorv32_top_inst|clk_gen[6] .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder ( +// Location: FF_X47_Y17_N41 +dffeas \neorv32_top_inst|clk_div_ff[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [2]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div_ff [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div_ff[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[2] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N39 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[2] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout +// \neorv32_top_inst|clk_gen [2] = ( \neorv32_top_inst|clk_div [2] & ( \neorv32_top_inst|clk_div_ff [2] ) ) # ( !\neorv32_top_inst|clk_div [2] & ( \neorv32_top_inst|clk_div_ff [2] ) ) # ( !\neorv32_top_inst|clk_div [2] & ( !\neorv32_top_inst|clk_div_ff [2] ) +// ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~81_sumout ), + .datac(gnd), .datad(gnd), - .datae(gnd), - .dataf(gnd), + .datae(!\neorv32_top_inst|clk_div [2]), + .dataf(!\neorv32_top_inst|clk_div_ff [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ), + .combout(\neorv32_top_inst|clk_gen [2]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[2] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[2] .lut_mask = 64'hFFFF0000FFFFFFFF; +defparam \neorv32_top_inst|clk_gen[2] .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y7_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 ( +// Location: FF_X47_Y17_N58 +dffeas \neorv32_top_inst|clk_div_ff[5] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [5]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div_ff [5]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div_ff[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[5] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[3] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) ) ) +// \neorv32_top_inst|clk_gen [3] = ( \neorv32_top_inst|clk_div_ff [5] ) # ( !\neorv32_top_inst|clk_div_ff [5] & ( !\neorv32_top_inst|clk_div [5] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), + .datac(!\neorv32_top_inst|clk_div [5]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [14]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [14]), + .datae(gnd), + .dataf(!\neorv32_top_inst|clk_div_ff [5]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), + .combout(\neorv32_top_inst|clk_gen [3]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .lut_mask = 64'h0000F0F00F0FFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0 .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[3] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[3] .lut_mask = 64'hF0F0F0F0FFFFFFFF; +defparam \neorv32_top_inst|clk_gen[3] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] ( +// Location: FF_X47_Y17_N56 +dffeas \neorv32_top_inst|clk_div_ff[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector53~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [1]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), + .q(\neorv32_top_inst|clk_div_ff [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[14] .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y10_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder ( +// Location: MLABCELL_X47_Y17_N54 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[1] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14] +// \neorv32_top_inst|clk_gen [1] = (!\neorv32_top_inst|clk_div [1]) # (\neorv32_top_inst|clk_div_ff [1]) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [14]), - .datad(gnd), + .datac(!\neorv32_top_inst|clk_div_ff [1]), + .datad(!\neorv32_top_inst|clk_div [1]), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ), + .combout(\neorv32_top_inst|clk_gen [1]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[1] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[1] .lut_mask = 64'hFF0FFF0FFF0FFF0F; +defparam \neorv32_top_inst|clk_gen[1] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y10_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] ( +// Location: FF_X47_Y17_N1 +dffeas \neorv32_top_inst|clk_div[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~89_sumout ), + .d(\neorv32_top_inst|Add0~29_sumout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(!\neorv32_top_inst|clk_gen_en_ff~q ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|clk_div[0]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|clk_div[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div[0]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X47_Y15_N28 +dffeas \neorv32_top_inst|clk_div_ff[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div[0]~DUPLICATE_q ), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .q(\neorv32_top_inst|clk_div_ff [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[14] .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y9_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder ( +// Location: MLABCELL_X47_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[0] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ) +// \neorv32_top_inst|clk_gen [0] = (!\neorv32_top_inst|clk_div[0]~DUPLICATE_q ) # (\neorv32_top_inst|clk_div_ff [0]) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), + .datac(!\neorv32_top_inst|clk_div_ff [0]), + .datad(!\neorv32_top_inst|clk_div[0]~DUPLICATE_q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~29_sumout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ), + .combout(\neorv32_top_inst|clk_gen [0]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[0] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[0] .lut_mask = 64'hFF0FFF0FFF0FFF0F; +defparam \neorv32_top_inst|clk_gen[0] .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y8_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 ( +// Location: MLABCELL_X47_Y15_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc -// [15]) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & (\neorv32_top_inst|clk_gen [0])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & (((\neorv32_top_inst|clk_gen [1])))))) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24])) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24] & (\neorv32_top_inst|clk_gen [2])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl +// [24] & (((\neorv32_top_inst|clk_gen [3])))))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24])) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [15]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [15]), - .dataf(gnd), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [24]), + .datac(!\neorv32_top_inst|clk_gen [2]), + .datad(!\neorv32_top_inst|clk_gen [3]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|clk_gen [1]), + .datag(!\neorv32_top_inst|clk_gen [0]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .lut_mask = 64'h0505AFAF0505AFAF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .lut_mask = 64'h1919193B3B3B193B; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y9_N28 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] ( +// Location: FF_X47_Y17_N50 +dffeas \neorv32_top_inst|clk_div_ff[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector52~0_combout ), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [9]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), + .q(\neorv32_top_inst|clk_div_ff [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[15] .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder ( +// Location: MLABCELL_X47_Y17_N48 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[5] ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15] +// \neorv32_top_inst|clk_gen [5] = ( \neorv32_top_inst|clk_div_ff [9] ) # ( !\neorv32_top_inst|clk_div_ff [9] & ( !\neorv32_top_inst|clk_div [9] ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [15]), - .datad(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|clk_div [9]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|clk_div_ff [9]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ), + .combout(\neorv32_top_inst|clk_gen [5]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X67_Y11_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~93_sumout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[15] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X66_Y14_N41 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .power_up = "low"; +defparam \neorv32_top_inst|clk_gen[5] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[5] .lut_mask = 64'hFF00FF00FFFFFFFF; +defparam \neorv32_top_inst|clk_gen[5] .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y14_N40 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE ( +// Location: FF_X47_Y17_N44 +dffeas \neorv32_top_inst|clk_div_ff[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .d(gnd), + .asdata(\neorv32_top_inst|clk_div [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[22]~0_combout ), + .sload(vcc), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q ), + .q(\neorv32_top_inst|clk_div_ff [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|clk_div_ff[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|clk_div_ff[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20 ( +// Location: MLABCELL_X47_Y17_N42 +cyclonev_lcell_comb \neorv32_top_inst|clk_gen[4] ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]))) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q )))) ) ) +// \neorv32_top_inst|clk_gen [4] = ( \neorv32_top_inst|clk_div_ff [6] ) # ( !\neorv32_top_inst|clk_div_ff [6] & ( !\neorv32_top_inst|clk_div [6] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|clk_div [6]), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataf(!\neorv32_top_inst|clk_div_ff [6]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), + .combout(\neorv32_top_inst|clk_gen [4]), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20 .lut_mask = 64'h4755475547474747; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20 .shared_arith = "off"; +defparam \neorv32_top_inst|clk_gen[4] .extended_lut = "off"; +defparam \neorv32_top_inst|clk_gen[4] .lut_mask = 64'hF0F0F0F0FFFFFFFF; +defparam \neorv32_top_inst|clk_gen[4] .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 ( +// Location: MLABCELL_X47_Y15_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout = ( \neorv32_top_inst|Equal0~0_combout & ( \neorv32_top_inst|Equal0~2_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout & -// \neorv32_top_inst|Equal0~1_combout ) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & +// ((((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ))))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & +// (\neorv32_top_inst|clk_gen [4])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & ((\neorv32_top_inst|clk_gen [5])))))) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & ((((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ))))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26] & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & (((\neorv32_top_inst|clk_gen [6])))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout & (\neorv32_top_inst|clk_gen [7])))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), - .datad(!\neorv32_top_inst|Equal0~1_combout ), - .datae(!\neorv32_top_inst|Equal0~0_combout ), - .dataf(!\neorv32_top_inst|Equal0~2_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [26]), + .datab(!\neorv32_top_inst|clk_gen [7]), + .datac(!\neorv32_top_inst|clk_gen [6]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~4_combout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [25]), + .dataf(!\neorv32_top_inst|clk_gen [5]), + .datag(!\neorv32_top_inst|clk_gen [4]), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .lut_mask = 64'h00000000000000F0; -defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .lut_mask = 64'h05AA05BB05FF05BB; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~66 ( +// Location: LABCELL_X45_Y11_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 ( // Equation(s): -// \neorv32_top_inst|rdata_v~66_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 & ( -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) +// ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~66_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~66 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~66 .lut_mask = 64'h000F000F000FFFFF; -defparam \neorv32_top_inst|rdata_v~66 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .lut_mask = 64'h0000FFFFCCCC3333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 ( +// Location: FF_X45_Y11_N26 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~7_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y11_N51 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3])) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] ) +// ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] $ +// ((((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ))) ) +// ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [3]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .lut_mask = 64'h11DD0C0C11DD3F3F; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .lut_mask = 64'h20DF20DF00FF00FF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N2 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] ( +// Location: FF_X46_Y11_N52 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~30_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~5_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N5 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[3] ( +// Location: FF_X37_Y14_N59 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [3]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[3] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y16_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder ( -// Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [3] - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [3]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N55 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] ( +// Location: FF_X37_Y14_N43 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3]~feeder_combout ), - .asdata(\~GND~combout ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .sclr(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder ( +// Location: LABCELL_X37_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3] ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3] & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]) ) ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [2]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [1]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sync [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .lut_mask = 64'h00000F0000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder ( +// Location: LABCELL_X37_Y14_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3] +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] ) ) +// # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout +// ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt +// [2]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), - .datad(gnd), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~2_combout ), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X56_Y15_N25 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .lut_mask = 64'h33335FFF3333FFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N20 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] ( +// Location: FF_X37_Y14_N56 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [3]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~3_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~65 ( +// Location: LABCELL_X46_Y11_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 ( // Equation(s): -// \neorv32_top_inst|rdata_v~65_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3] & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & -// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3])) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) +// # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), - .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~65_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~65 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~65 .lut_mask = 64'hA000A00000000000; -defparam \neorv32_top_inst|rdata_v~65 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .lut_mask = 64'hFFF0FFF0F0FFF0FF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~67 ( +// Location: LABCELL_X46_Y11_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~67_combout = ( \neorv32_top_inst|rdata_v~65_combout & ( ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2~portadataout & -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|rdata_v~66_combout ) ) ) # ( !\neorv32_top_inst|rdata_v~65_combout ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2~portadataout ), - .datac(!\neorv32_top_inst|rdata_v~66_combout ), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tmp_v~5_combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~65_combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Mux0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~67_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~67 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~67 .lut_mask = 64'hFFFFFFFF0F3F0F3F; -defparam \neorv32_top_inst|rdata_v~67 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .lut_mask = 64'h5505550555005500; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y13_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[3] ( +// Location: FF_X46_Y11_N46 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~67_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~6_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[3] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X65_Y11_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [3]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1 ( +// Location: LABCELL_X37_Y14_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0])) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt +// [1]) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt +// [2])) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1 .lut_mask = 64'h00000000C0000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X62_Y11_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~1_combout ), - .asdata(\~GND~combout ), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .lut_mask = 64'hFFFFFFFFC0003FFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] ( +// Location: FF_X37_Y14_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 ( +// Location: LABCELL_X37_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt +// [0] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1] & +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [2]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [3]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [1]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.state~q ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [2]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.bitcnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .lut_mask = 64'h00000000F5F5F5F5; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .lut_mask = 64'h0808000000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 ( +// Location: LABCELL_X37_Y14_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout = ( \neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout +// ) # (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_buffer.we~combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .lut_mask = 64'h00000000A0A0A0A0; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .lut_mask = 64'hFFF0FFF000000000; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N20 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] ( +// Location: LABCELL_X37_Y14_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout = ( \neorv32_top_inst|io_rden~0_combout & ( \neorv32_top_inst|Equal0~5_combout & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ) # ((!\neorv32_top_inst|Equal0~7_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )))) ) ) ) # ( !\neorv32_top_inst|io_rden~0_combout & ( +// \neorv32_top_inst|Equal0~5_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ) ) ) # ( \neorv32_top_inst|io_rden~0_combout & ( !\neorv32_top_inst|Equal0~5_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ) ) ) # ( !\neorv32_top_inst|io_rden~0_combout & ( !\neorv32_top_inst|Equal0~5_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~0_combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|Equal0~7_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|io_rden~0_combout ), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .lut_mask = 64'hAAAAAAAAAAAAAAA8; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y14_N26 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~3_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine~1_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 ( +// Location: LABCELL_X37_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q )) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q )) -// ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout = ( \neorv32_top_inst|io_rden~combout & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q & +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[17]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[17]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.overr~q ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .lut_mask = 64'h1B1B00551B1BAAFF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .lut_mask = 64'h0000000001010101; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] ( +// Location: FF_X37_Y14_N31 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~16_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~3_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[30] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~36 ( +// Location: LABCELL_X36_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~36_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17] & !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17] & -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]) ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30] ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~36_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~36 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~36 .lut_mask = 64'hF0000000C0000000; -defparam \neorv32_top_inst|rdata_v~36 .shared_arith = "off"; -// synopsys translate_on - -// Location: MLABCELL_X59_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~37 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~37_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( ((!\neorv32_top_inst|rdata_v~36_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~36_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ), - .datad(!\neorv32_top_inst|rdata_v~36_combout ), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~37_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~37 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~37 .lut_mask = 64'hFF03FF03FF57FF57; -defparam \neorv32_top_inst|rdata_v~37 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y12_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[1] ( +// Location: FF_X36_Y16_N58 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|rdata_v~37_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [1]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[1] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[1] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[30] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y11_N2 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE ( +// Location: FF_X37_Y16_N46 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [1]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 ( +// Location: LABCELL_X37_Y16_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30] & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[17]~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [9]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [30]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [30]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .lut_mask = 64'h555505F500000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[2]~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .lut_mask = 64'h0000303003033333; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 ( +// Location: FF_X37_Y16_N55 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~9_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[30] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [30]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [30]), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [30]), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [30]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .lut_mask = 64'h50505050F0F0F0F0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .lut_mask = 64'h330F330F0055FF55; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N16 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE ( +// Location: FF_X39_Y15_N49 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux19~0_combout ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[30] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 ( +// Location: LABCELL_X40_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~24 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ) ) ) +// \neorv32_top_inst|rdata_v~24_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [30]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [30]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a30 ), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [30]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~24_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~24 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~24 .lut_mask = 64'hA0A0808000000000; +defparam \neorv32_top_inst|rdata_v~24 .shared_arith = "off"; +// synopsys translate_on + +// Location: M10K_X58_Y19_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X26_Y17_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [30]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_first_bit_number = 6; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y15_N45 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~25 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~25_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( ((!\neorv32_top_inst|rdata_v~24_combout ) # +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout +// & ( (!\neorv32_top_inst|rdata_v~24_combout ) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout +// & ( (!\neorv32_top_inst|rdata_v~24_combout ) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( +// !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout & ( !\neorv32_top_inst|rdata_v~24_combout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|rdata_v~24_combout ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a6~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20_combout ), + .combout(\neorv32_top_inst|rdata_v~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .lut_mask = 64'h0000000000CC00CC; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1~20 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~25 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~25 .lut_mask = 64'hCCCCDDDDCFCFDFDF; +defparam \neorv32_top_inst|rdata_v~25 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X63_Y13_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 ( +// Location: LABCELL_X45_Y13_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & -// (\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout & (\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder_combout = ( \neorv32_top_inst|rdata_v~25_combout ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ), - .datab(!\neorv32_top_inst|neorv32_sysinfo_inst|rden~0_combout ), + .dataa(gnd), + .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .dataf(!\neorv32_top_inst|rdata_v~25_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .lut_mask = 64'h2200220000110011; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y13_N52 -dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] ( +// Location: FF_X45_Y13_N40 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~4_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~feeder_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y14_N0 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 ( +// Location: MLABCELL_X47_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13] & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ) ) ) +// ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q & +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q )) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [13]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[13]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [13]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [13]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][14]~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][14]~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .lut_mask = 64'h3500350F35F035FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X57_Y14_N1 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~24_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .lut_mask = 64'h0500050FF5F0F5FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y13_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell ( +// Location: LABCELL_X51_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout = !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) .dataa(gnd), .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .lut_mask = 64'hFF00FF00FF00FF00; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X59_Y13_N4 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~_wirecell_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14 .lut_mask = 64'h00000000F0F0F0F0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y13_N42 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~52 ( +// Location: LABCELL_X51_Y15_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24 ( // Equation(s): -// \neorv32_top_inst|rdata_v~52_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13] & -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13] & -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13]))) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13] & -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [13]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a13 ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [13]), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [13]), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~52_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~52 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~52 .lut_mask = 64'hA0008000A0008000; -defparam \neorv32_top_inst|rdata_v~52 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24 .lut_mask = 64'hA0A0A0A08A808A80; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~53 ( +// Location: LABCELL_X51_Y14_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25 ( // Equation(s): -// \neorv32_top_inst|rdata_v~53_combout = ( \neorv32_top_inst|rdata_v~52_combout & ( (!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout )))) # (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & -// (((\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout )) # -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ))) ) ) # ( !\neorv32_top_inst|rdata_v~52_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5 ), - .datad(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[4]~14_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~52_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~24_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~53_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~53 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~53 .lut_mask = 64'hFFFFFFFF05370537; -defparam \neorv32_top_inst|rdata_v~53 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25 .lut_mask = 64'h202F202FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[13] ( +// Location: FF_X51_Y14_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~53_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[4]~25_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [13]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X73_Y10_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [13]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .prn(vcc)); +// Location: LABCELL_X50_Y16_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[13] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .lut_mask = 64'h0000000020002000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y10_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 ( +// Location: MLABCELL_X52_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [12]))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~1_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [30]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux46~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .lut_mask = 64'h005F00F5007F00F5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .lut_mask = 64'h111F111F000F000F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y10_N38 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0] ( +// Location: FF_X52_Y16_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux37~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector73~0_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X47_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .lut_mask = 64'h4CCC7FFF08000800; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 ( +// Location: MLABCELL_X47_Y16_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector69~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .lut_mask = 64'h00000000F5F5F5F5; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .lut_mask = 64'h0044004411551155; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y13_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE ( +// Location: FF_X47_Y16_N47 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux18~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector72~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 ( -// Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o[2]~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .lut_mask = 64'h00000F0F00000000; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~20 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 ( +// Location: MLABCELL_X52_Y20_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]))) ) ) ) # ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16] & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [16]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [16]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [16]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [16]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] +// & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc +// [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q +// )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q +// )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~109_sumout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_ENTER~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .lut_mask = 64'h330F5500330F55FF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .lut_mask = 64'h111FDD1F111F111F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] ( +// Location: FF_X52_Y20_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector66~0_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell ( +// Location: LABCELL_X57_Y20_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [1]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .lut_mask = 64'hFFFFFFFF00000000; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y15_N17 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] ( +// Location: FF_X57_Y20_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.empty~_wirecell_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~5 ( +// Location: LABCELL_X53_Y18_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder ( // Equation(s): -// \neorv32_top_inst|rdata_v~5_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16] & -// ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ) - .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [16]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a16 ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [16]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add1~101_sumout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~5_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~5 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~5 .lut_mask = 64'hA080A08000000000; -defparam \neorv32_top_inst|rdata_v~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~6 ( +// Location: MLABCELL_X52_Y21_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~6_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( ((!\neorv32_top_inst|rdata_v~5_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~5_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q -// )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2] & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec +// [2] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|rdata_v~5_combout ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mepc [2]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a0~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.mtvec [2]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~6 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~6 .lut_mask = 64'hF0F5F0F5F3F7F3F7; -defparam \neorv32_top_inst|rdata_v~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .lut_mask = 64'h000F000FF0FFF0FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X53_Y18_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector65~0_combout ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|WideNor0~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder ( +// Location: LABCELL_X56_Y14_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder_combout = ( \neorv32_top_inst|rdata_v~6_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout = \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2] .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [2]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~6_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N55 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0] ( +// Location: FF_X56_Y14_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0]~feeder_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~9_sumout ), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector78~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [0]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y11_N23 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] ( +// Location: FF_X43_Y18_N2 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.buf [0]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~1_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[16] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 ( +// Location: LABCELL_X43_Y18_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16] ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [16]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [8]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~2 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .lut_mask = 64'h55550F5500000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[1]~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder ( +// Location: FF_X43_Y18_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~5_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 )) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [24]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~6 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N47 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] ( +// Location: FF_X43_Y18_N8 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [16]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~101_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [4]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X63_Y15_N47 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE_q ), - .prn(vcc)); +// Location: LABCELL_X43_Y18_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~102 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X63_Y15_N46 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] ( +// Location: FF_X43_Y18_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add0~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~105_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi_we~q ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[5] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~106 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y14_N52 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE ( +// Location: FF_X43_Y18_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~109_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [6]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[12]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y13_N46 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] ( +// Location: LABCELL_X43_Y18_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~110 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y18_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|Add1~37_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~113_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [7]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo_we~q ), - .ena(vcc), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[7] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 ( +// Location: LABCELL_X43_Y18_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] -// & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [15]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo[15]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [15]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [15]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 )) + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~114 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .lut_mask = 64'h04340737C4F4C7F7; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y13_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] ( +// Location: FF_X43_Y18_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~9_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~117_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [8]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [8]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[8] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~35 ( +// Location: LABCELL_X43_Y18_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 ( // Equation(s): -// \neorv32_top_inst|rdata_v~35_combout = ( \neorv32_top_inst|rdata_v~21_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( !\neorv32_top_inst|rdata_v~21_combout & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( \neorv32_top_inst|rdata_v~21_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] ) ) # ( !\neorv32_top_inst|rdata_v~21_combout & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14] & ( (((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 )) # (\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 )) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [15]), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a15 ), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datae(!\neorv32_top_inst|rdata_v~21_combout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [14]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~118 ), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~35_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~35 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~35 .lut_mask = 64'h57FFFFFFFFFFFFFF; -defparam \neorv32_top_inst|rdata_v~35 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N25 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[15] ( +// Location: FF_X43_Y18_N23 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~35_combout ), - .asdata(vcc), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~97_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [9]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[9] .power_up = "low"; // synopsys translate_on -// Location: FF_X61_Y11_N20 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] ( +// Location: LABCELL_X43_Y18_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 )) + + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~98 ), + .sharein(gnd), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .lut_mask = 64'h0000FFFF00003333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X43_Y18_N25 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [15]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~73_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [10]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [10]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[15] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[10] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 ( +// Location: LABCELL_X43_Y18_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15] ) ) -// ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 )) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [15]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [7]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~74 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .lut_mask = 64'h55550F5500000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|opa_addr[0]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y14_N50 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] ( +// Location: FF_X43_Y18_N29 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [27]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~77_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [11]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [11]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[11] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X62_Y15_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder ( +// Location: LABCELL_X43_Y18_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 )) .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~78 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y15_N13 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] ( +// Location: FF_X43_Y18_N31 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4]~feeder_combout ), - .asdata(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~81_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [12]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder ( +// Location: LABCELL_X43_Y18_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 )) - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [4]), + .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~82 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .lut_mask = 64'h0000FFFF00005555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder ( +// Location: FF_X43_Y18_N35 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~85_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc[13]~DUPLICATE_q ), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[13] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y18_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout = SUM(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 = CARRY(( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14] ) + ( GND ) + ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 )) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .datad(gnd), .datae(gnd), .dataf(gnd), .datag(gnd), - .cin(gnd), + .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~86 ), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ), - .sumout(), - .cout(), + .combout(), + .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), + .cout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~90 ), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .lut_mask = 64'h0000FFFF00000F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N52 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] ( +// Location: FF_X43_Y18_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~89_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [14]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [14]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[14] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y15_N37 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] ( +// Location: FF_X43_Y18_N41 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [4]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Add0~93_sumout ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [15]), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[6]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc[15] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 ( +// Location: LABCELL_X40_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [4]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [4]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [4]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [4]), +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout = ( \neorv32_top_inst|Equal0~5_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15])) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [15]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [15]), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), + .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .lut_mask = 64'h1103DD0311CFDDCF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .lut_mask = 64'h00000000B8B8B8B8; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N50 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] ( +// Location: LABCELL_X40_Y15_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout )) # +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17])))) # +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17] & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17])))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [17]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [17]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [17]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [17]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .lut_mask = 64'h010BA1AB515BF1FB; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X40_Y15_N26 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~28_combout ), - .asdata(vcc), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~2_combout ), .clrn(vcc), .aload(gnd), .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), + .sload(vcc), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[17] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N8 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4] ( +// Location: FF_X43_Y15_N1 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [4]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[17] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder ( +// Location: FF_X40_Y17_N43 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[17] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X40_Y13_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [4] +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17])) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [4]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [17]), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .lut_mask = 64'h0101010145454545; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N22 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] ( +// Location: FF_X40_Y13_N14 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4]~feeder_combout ), - .asdata(\~GND~combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~2_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[17] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y16_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~60 ( +// Location: FF_X39_Y16_N56 +dffeas \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_sysinfo_inst|data_o~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_sysinfo_inst|data_o[17] .power_up = "low"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y13_N15 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~5 ( // Equation(s): -// \neorv32_top_inst|rdata_v~60_combout = ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout & -// ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4])) ) ) ) # ( -// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4])) ) ) ) +// \neorv32_top_inst|rdata_v~5_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17] & ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17] & +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [4]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [4]), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [4]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [17]), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [17]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a1~portadataout ), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [17]), + .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [17]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~60_combout ), + .combout(\neorv32_top_inst|rdata_v~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~60 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~60 .lut_mask = 64'h8080808080800000; -defparam \neorv32_top_inst|rdata_v~60 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~5 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~5 .lut_mask = 64'hC0C0000000000000; +defparam \neorv32_top_inst|rdata_v~5 .shared_arith = "off"; // synopsys translate_on -// Location: M10K_X69_Y17_N0 -cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ( - .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~21_combout ), +// Location: M10K_X41_Y15_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2~22_combout ), .portare(vcc), .portaaddrstall(gnd), .portbwe(gnd), - .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rd[0]~0_combout ), .portbaddrstall(gnd), .clk0(\clk_i~inputCLKENA0_outclk ), .clk1(gnd), @@ -92998,2529 +105321,2867 @@ cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem .clr0(gnd), .clr1(gnd), .nerror(vcc), - .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6],\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]}), - .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portabyteenamasks(1'b1), - .portbdatain(2'b00), - .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~2_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~23_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~22_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~21_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~28_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~27_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~25_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~26_combout , -\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~24_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout }), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), .portadataout(), - .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4_PORTBDATAOUT_bus ), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), .eccstatus(), .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_offset_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .data_interleave_width_in_bits = 1; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_o6q1:auto_generated|ALTSYNCRAM"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .mixed_port_feed_through_mode = "old"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .operation_mode = "dual_port"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_byte_enable_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_a_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_address_width = 12; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clear = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_out_clock = "none"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_data_width = 2; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_address = 0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_first_bit_number = 4; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_last_address = 4095; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_depth = 4096; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_logical_ram_width = 8; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_during_write_mode = "new_data_no_nbe_read"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .port_b_read_enable_clock = "clock0"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 .ram_block_type = "M20K"; -// synopsys translate_on - -// Location: LABCELL_X55_Y13_N9 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~61 ( -// Equation(s): -// \neorv32_top_inst|rdata_v~61_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & ( ((!\neorv32_top_inst|rdata_v~60_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout & ( -// (!\neorv32_top_inst|rdata_v~60_combout ) # ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 )) ) ) - - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|rdata_v~60_combout ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4 ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a4~portbdataout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~61_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|rdata_v~61 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~61 .lut_mask = 64'hF0F3F0F3F5F7F5F7; -defparam \neorv32_top_inst|rdata_v~61 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X55_Y13_N10 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~61_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[4] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X61_Y11_N29 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X38_Y16_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b2_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [17]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[4] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X68_Y13_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [4] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] ) ) ) - - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), - .sumout(), - .cout(), - .shareout()); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0 .lut_mask = 64'h00000F0F00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b2_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_first_bit_number = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1 .ram_block_type = "M20K"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 ( +// Location: LABCELL_X46_Y15_N21 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~6 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout = (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q )))) +// \neorv32_top_inst|rdata_v~6_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout +// & ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [1]), - .datae(gnd), - .dataf(gnd), + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b2_rtl_0|auto_generated|ram_block1a1~portbdataout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), + .combout(\neorv32_top_inst|rdata_v~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .lut_mask = 64'h0051005100510051; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~6 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~6 .lut_mask = 64'h0000333355557777; +defparam \neorv32_top_inst|rdata_v~6 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X62_Y11_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 ( +// Location: LABCELL_X48_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) ) +// \neorv32_top_inst|rdata_v~7_combout = ( \neorv32_top_inst|rdata_v~6_combout ) # ( !\neorv32_top_inst|rdata_v~6_combout & ( (!\neorv32_top_inst|rdata_v~5_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o[17]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector76~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux47~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a17 ), + .datac(!\neorv32_top_inst|rdata_v~5_combout ), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|rdata_v~6_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), + .combout(\neorv32_top_inst|rdata_v~7_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .lut_mask = 64'h0000F0000030F030; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~7 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~7 .lut_mask = 64'hF1F1F1F1FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~7 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X62_Y11_N5 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH ( +// Location: FF_X48_Y16_N20 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector74~0_combout ), + .d(\neorv32_top_inst|rdata_v~7_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH .power_up = "low"; -// synopsys translate_on - -// Location: MLABCELL_X65_Y11_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector70~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .lut_mask = 64'h1333133333333333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 ( -// Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout -// & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine~7_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X48_Y16_N14 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|rdata_v~7_combout ), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .lut_mask = 64'hA4A000E4848000E4; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 ( +// Location: LABCELL_X48_Y16_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][1]~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .lut_mask = 64'h3303330333303330; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .lut_mask = 64'h00F000F00FFF0FFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 ( +// Location: LABCELL_X48_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout )) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) +// # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout )) ) ) # +// ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector82~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[1]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|rdata_o[0]~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .lut_mask = 64'h0C080C0808080808; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .lut_mask = 64'h00050005AAAFAAAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y11_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 ( +// Location: LABCELL_X50_Y15_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout -// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .lut_mask = 64'h00000000C0D0C0D0; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1 .lut_mask = 64'h115111F1115131F1; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 ( +// Location: LABCELL_X51_Y15_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[0]~4_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .lut_mask = 64'hFF20FF20FF02FF02; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X71_Y12_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0 .lut_mask = 64'h111D111D11111111; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 ( +// Location: LABCELL_X51_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout & +// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt[0]~DUPLICATE_q ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[1]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~DUPLICATE_q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux102~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .lut_mask = 64'h0000000011BB11BB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .lut_mask = 64'h0A5F0A5F8ADF8ADF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y12_N4 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ( +// Location: FF_X51_Y15_N28 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[5]~11_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y12_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o ( +// Location: LABCELL_X43_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), - .datac(gnd), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~DUPLICATE_q ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o .lut_mask = 64'h0000CCCC00003333; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .lut_mask = 64'hC0CCC0CCC044C044; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 ( +// Location: LABCELL_X40_Y15_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ) ) ) +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), - .datad(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Equal0~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), + .combout(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .lut_mask = 64'h0000000030303030; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .lut_mask = 64'h00000000FF00FF00; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y12_N38 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE ( +// Location: FF_X40_Y15_N16 +dffeas \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), + .d(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~0_combout ), .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .q(\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 ( +// Location: LABCELL_X43_Y12_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .lut_mask = 64'hFF00FF0000000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N3 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 ( +// Location: LABCELL_X42_Y12_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3] - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .lut_mask = 64'hAAAAAAAAFFAAFFAA; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & -// \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # -// (\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ))) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X42_Y12_N16 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [4]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .lut_mask = 64'h020F020F22FF22FF; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X65_Y12_N47 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf ( +// Location: FF_X43_Y12_N16 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~1_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~feeder_combout ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [3]), + .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(gnd), + .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~0_combout ), + .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 ( +// Location: LABCELL_X37_Y18_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q & -// (!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ))) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~q ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.IDLE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [3]), + .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [3]), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi[3]~DUPLICATE_q ), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [3]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .lut_mask = 64'hC040C04000000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .lut_mask = 64'h303F303F0505F5F5; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18 ( +// Location: LABCELL_X36_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13] & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # -// ((\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout )))) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [13]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|mar [13]), + .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~26_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18 .lut_mask = 64'h0D0C0D0C2F3F2F3F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 ( +// Location: FF_X36_Y16_N19 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[3] .power_up = "low"; +// synopsys translate_on + +// Location: FF_X51_Y17_N8 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[3] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3] ) .dataa(gnd), .datab(gnd), .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~18_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~12_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .lut_mask = 64'h00000000FF00FF00; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 ( -// Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout & -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~3_combout ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~1_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[18]~5_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[15]~20_combout ), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~2_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), - .sumout(), - .cout(), - .shareout()); +// Location: FF_X37_Y16_N34 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3]), + .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .lut_mask = 64'h0000000000001000; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 ( +// Location: LABCELL_X37_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3] & ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) # ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3] & ( +// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), - .datab(gnd), - .datac(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), + .datae(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [3]), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .lut_mask = 64'h00000000AAAAAAAA; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .lut_mask = 64'h0000303003033333; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N35 -dffeas \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden ( +// Location: FF_X37_Y16_N7 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~0_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~26_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X60_Y14_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 ( +// Location: MLABCELL_X39_Y16_N21 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~64 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( -// ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5])))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [5]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [5]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [5]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [5]), +// \neorv32_top_inst|rdata_v~64_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3] & ( (!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3] & +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3])) ) ) + + .dataa(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [3]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [3]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [3]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), + .combout(\neorv32_top_inst|rdata_v~64_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .lut_mask = 64'h0C440C773F443F77; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0 .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~64 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~64 .lut_mask = 64'hA000A00000000000; +defparam \neorv32_top_inst|rdata_v~64 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N37 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~0_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), +// Location: M10K_X38_Y15_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), - .prn(vcc)); + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X38_Y13_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b0_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b0_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_first_bit_number = 3; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: LABCELL_X46_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~65 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~65_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout & ( +// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a3~portbdataout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~65_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~65 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~65 .lut_mask = 64'h0033003355775577; +defparam \neorv32_top_inst|rdata_v~65 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder ( +// Location: LABCELL_X46_Y15_N51 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~66 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5] ) +// \neorv32_top_inst|rdata_v~66_combout = ( \neorv32_top_inst|rdata_v~65_combout ) # ( !\neorv32_top_inst|rdata_v~65_combout & ( (!\neorv32_top_inst|rdata_v~64_combout ) # ((\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & +// \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 )) ) ) .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|rdata_v~64_combout ), + .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a2 ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [5]), + .dataf(!\neorv32_top_inst|rdata_v~65_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ), + .combout(\neorv32_top_inst|rdata_v~66_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|rdata_v~66 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~66 .lut_mask = 64'hF0F3F0F3FFFFFFFF; +defparam \neorv32_top_inst|rdata_v~66 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder ( +// Location: LABCELL_X46_Y15_N54 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout = ( \neorv32_top_inst|rdata_v~66_combout ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [5]), + .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~66_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .lut_mask = 64'h3333333333333333; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N49 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] ( +// Location: FF_X46_Y15_N55 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[5] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X57_Y15_N28 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [5]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N11 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[5] ( +// Location: FF_X46_Y13_N59 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|rdata_v~66_combout ), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N39 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder ( +// Location: LABCELL_X46_Y13_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [5] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ) ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [5]), - .datad(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[0][3]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.data[1][3]~q ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X64_Y16_N40 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5]~feeder_combout ), - .asdata(\~GND~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16 .lut_mask = 64'h0F0F0F0F00FF00FF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N24 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~0 ( +// Location: MLABCELL_X47_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13 ( // Equation(s): -// \neorv32_top_inst|rdata_v~0_combout = ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5] & ( (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5] & -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5] & ((!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout ) # -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [5]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [5]), - .datac(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a0~portadataout ), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[19]~16_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][3]~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][3]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [5]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~0 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~0 .lut_mask = 64'h8880888000000000; -defparam \neorv32_top_inst|rdata_v~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13 .lut_mask = 64'h4747474744774477; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y12_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~1 ( +// Location: MLABCELL_X52_Y16_N33 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23 ( // Equation(s): -// \neorv32_top_inst|rdata_v~1_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 & ( ((!\neorv32_top_inst|rdata_v~0_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 & ( (!\neorv32_top_inst|rdata_v~0_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 )) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datab(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ), - .datad(!\neorv32_top_inst|rdata_v~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[3]~13_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a5 ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~1_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~1 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~1 .lut_mask = 64'hFF05FF05FF37FF37; -defparam \neorv32_top_inst|rdata_v~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23 .lut_mask = 64'h111111111D111D11; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y12_N31 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[5] ( +// Location: FF_X52_Y16_N34 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~1_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[3]~23_combout ), .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [5]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[5] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X66_Y12_N35 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [5]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[5] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o ( +// Location: MLABCELL_X52_Y17_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & -// (((!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout )))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .lut_mask = 64'hDDF0DDF000000000; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .lut_mask = 64'h0400040000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N12 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 ( +// Location: MLABCELL_X52_Y17_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ) ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|Equal0~0_combout ), - .dataf(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl_o[67]~0_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .lut_mask = 64'h0000F0F00000F0F0; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .lut_mask = 64'h00FF03FFFFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N13 -dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE ( +// Location: FF_X52_Y17_N11 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector71~1_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X56_Y14_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 ( +// Location: LABCELL_X55_Y16_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12] & ( -// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ( (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12] & \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [12]), - .datab(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [12]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [12]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [12]), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_PENDING~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .lut_mask = 64'h000FFF0F55335533; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .lut_mask = 64'hCCCCFCCC8888F888; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y14_N25 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~6_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), - .prn(vcc)); +// Location: LABCELL_X55_Y17_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]))) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .lut_mask = 64'h1000FFFF4000FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X59_Y15_N32 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] ( +// Location: FF_X55_Y17_N22 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [12]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector0~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[10]~1_combout ), - .sload(vcc), + .sclr(gnd), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X59_Y15_N30 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~14 ( +// Location: LABCELL_X55_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 ( // Equation(s): -// \neorv32_top_inst|rdata_v~14_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( -// (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12] & !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ) ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [12]), - .datad(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [25]), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [12]), - .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a12 ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~DUPLICATE_q ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~14_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~14 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~14 .lut_mask = 64'hF0000000C0000000; -defparam \neorv32_top_inst|rdata_v~14 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .lut_mask = 64'hF0F0F0F0F0F00000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X55_Y13_N6 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~15 ( +// Location: LABCELL_X55_Y16_N6 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 ( // Equation(s): -// \neorv32_top_inst|rdata_v~15_combout = ( \neorv32_top_inst|rdata_v~14_combout & ( (!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & -// (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 )))) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & (((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 )) # (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ))) ) ) # ( -// !\neorv32_top_inst|rdata_v~14_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ), - .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b1_rtl_0|auto_generated|ram_block1a4 ), - .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~14_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_RESTART~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~15_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~15 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~15 .lut_mask = 64'hFFFFFFFF05370537; -defparam \neorv32_top_inst|rdata_v~15 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .lut_mask = 64'hCCCCCFCDCCCCCFCE; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y13_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[12] ( +// Location: FF_X55_Y16_N7 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~15_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine~4_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [12]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart .power_up = "low"; // synopsys translate_on -// Location: FF_X75_Y10_N7 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [12]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), - .prn(vcc)); +// Location: LABCELL_X48_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout )) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[34]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Equal4~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .lut_mask = 64'h1111FAFF0000FAFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 ( +// Location: LABCELL_X45_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] $ (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~1_sumout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~5_sumout ), + .datac(gnd), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.pc [1]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .lut_mask = 64'h050A050A05AA05AA; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .lut_mask = 64'h0000AAAA5555FFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y13_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned ( +// Location: FF_X45_Y16_N5 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux0~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~3_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 ( +// Location: LABCELL_X48_Y15_N18 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q )))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q )) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ))))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|misaligned~q ), - .datad(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][12]~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][12]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_req~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[28]~15_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .lut_mask = 64'h00000000F0F0F0F0; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12 .lut_mask = 64'h10151015BABFBABF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y12_N24 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 ( +// Location: LABCELL_X50_Y13_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( ((\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( -// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ))) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~q ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux106~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .lut_mask = 64'h0057005757575757; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0 .shared_arith = "off"; -// synopsys translate_on - -// Location: FF_X65_Y12_N25 -dffeas \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~0_combout ), - .asdata(vcc), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .lut_mask = 64'h000000000F0F0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N51 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 ( +// Location: LABCELL_X50_Y13_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout = (\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q & \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout = (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout )))) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|ca_wr_req_buf~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.A_RETIRE~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|ci_instr32_o~0_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal3~0_combout ), .datae(gnd), .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .lut_mask = 64'h000F000F000F000F; -defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector7~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19 .lut_mask = 64'h002A002A002A002A; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X66_Y12_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o ( +// Location: LABCELL_X51_Y14_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21 ( // Equation(s): -// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) # (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout & -// ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ) # (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout )) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout )))) ) ) - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector7~0_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_req_current~0_combout ), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|Selector2~0_combout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .lut_mask = 64'hAAAAA8AAAAAAA8A8; -defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21 .lut_mask = 64'h000E000E000C000C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y14_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 ( +// Location: LABCELL_X51_Y14_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 ( // Equation(s): -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout )))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_we_o~combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_ben_o [0]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~19_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~4_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .lut_mask = 64'h0000000000F000F0; -defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0~20 .shared_arith = "off"; -// synopsys translate_on - -// Location: LABCELL_X60_Y14_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 ( -// Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6])))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout )))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6])) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]))))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [6]), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [6]), - .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [6]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [6]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~21_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .lut_mask = 64'h1103DD0311CFDDCF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .lut_mask = 64'h110F110FFF0FFF0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y14_N31 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] ( +// Location: FF_X51_Y14_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~29_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[2]~22_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder ( +// Location: MLABCELL_X52_Y16_N0 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6] ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [4] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5])))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [6]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]))) ) ) ) - .dataa(gnd), - .datab(gnd), - .datac(gnd), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [3]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .lut_mask = 64'hB0B01900B0101900; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N45 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder ( +// Location: LABCELL_X48_Y16_N57 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ) ) .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [6]), - .datad(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.SYSTEM~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~0_combout ), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux49~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .lut_mask = 64'h3333333303330333; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N46 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] ( +// Location: FF_X55_Y16_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [7]), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [6]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[6] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X57_Y15_N34 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [6]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N1 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [6]), - .prn(vcc)); +// Location: LABCELL_X48_Y16_N9 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q & +// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .lut_mask = 64'h00DF00DF008C008C; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder ( +// Location: LABCELL_X48_Y16_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [6] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ))) ) ) - .dataa(gnd), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.ALU_WAIT~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~1_combout ), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .lut_mask = 64'h4545454500000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N49 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6]~feeder_combout ), - .asdata(\~GND~combout ), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), - .prn(vcc)); +// Location: LABCELL_X48_Y16_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout +// & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.MEM_WAIT~q ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|ca_bus_ack_o~0_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine_fsm_comb~0_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~1_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~3_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .lut_mask = 64'h00000000AE00AE00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X59_Y16_N57 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~62 ( +// Location: LABCELL_X51_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5 ( // Equation(s): -// \neorv32_top_inst|rdata_v~62_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6] & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6] & !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6] & ( -// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6] & !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6]) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg +// [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0] & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [6]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [6]), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a6 ), - .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [6]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux44~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~4_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~62_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~62 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~62 .lut_mask = 64'hA0A0A00000000000; -defparam \neorv32_top_inst|rdata_v~62 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5 .lut_mask = 64'h0000AAAA0000AFAF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~63 ( +// Location: LABCELL_X55_Y16_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 ( // Equation(s): -// \neorv32_top_inst|rdata_v~63_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|rdata_v~62_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) ) # ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|rdata_v~62_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q & ( (!\neorv32_top_inst|rdata_v~62_combout ) # -// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q )) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout ) # +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2] & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~q ), - .datad(!\neorv32_top_inst|rdata_v~62_combout ), - .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a6 ), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~5_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~63_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~63 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~63 .lut_mask = 64'hFF03FF03FF03FFFF; -defparam \neorv32_top_inst|rdata_v~63 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .lut_mask = 64'hFF02FF02FF20FF20; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y13_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[6] ( +// Location: FF_X55_Y16_N38 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~63_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector68~6_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X59_Y13_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] ( +// Location: LABCELL_X48_Y16_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout )))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) # +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.DISPATCH~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~q ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.valid[0]~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0 .lut_mask = 64'hFF00FF00FF01FF51; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X45_Y16_N17 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [6]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X68_Y13_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 ( +// Location: LABCELL_X45_Y16_N15 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q )))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg -// [6] & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4])))) ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q & ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [6]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [4]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[3]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [5]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux42~0_combout ), + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [0]), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.restart~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .lut_mask = 64'h000000008A00D210; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .lut_mask = 64'h00000000FF00FF00; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X68_Y13_N37 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE ( +// Location: FF_X45_Y16_N16 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Mux39~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), - .sclr(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.EXECUTE~q ), + .sclr(gnd), .sload(gnd), - .ena(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[1]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X67_Y8_N30 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 ( +// Location: LABCELL_X43_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [31])))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ -// (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]))))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [31] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [31])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q $ (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o -// [31]))))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ) # (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q )))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_opb_mux~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|imm_o [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[0]~DUPLICATE_q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op[1]~DUPLICATE_q ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__1|auto_generated|q_b [0]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [0]), +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ) # +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0] & ( (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1] $ (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt [1]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.state.IF_REQUEST~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.w_pnt [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .lut_mask = 64'h11101EF1BBB0B4FB; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .lut_mask = 64'h00D700D700EB00EB; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X60_Y13_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder ( +// Location: LABCELL_X43_Y16_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout = ( \neorv32_top_inst|rdata_v~70_combout ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout +// ) ) .dataa(gnd), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|rdata_v~70_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .lut_mask = 64'h3333333300000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X42_Y14_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout = (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout )) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(gnd), + .dataf(gnd), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .lut_mask = 64'h0050005000500050; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector4~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X60_Y13_N49 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] ( +// Location: FF_X42_Y14_N23 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|Mux30~1_combout ), - .clrn(vcc), + .d(\neorv32_top_inst|neorv32_busswitch_inst|Selector4~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), + .q(\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y8_N21 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 ( +// Location: LABCELL_X42_Y14_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc -// [31])) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]))) ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31] & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31] & -// ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.next_pc [31]), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|csr.rdata [31]), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|rdata_o [31]), +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q & ( !\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ) ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_RETIRE~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|arbiter.state.B_BUSY~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .lut_mask = 64'h7676323254541010; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .lut_mask = 64'hFF00FF0000000000; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X73_Y12_N34 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sub[31]~125_sumout ), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [30]), - .clrn(vcc), - .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.start~combout ), - .sload(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add5~1_sumout ), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[9]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), - .prn(vcc)); +// Location: LABCELL_X42_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 ( +// Equation(s): +// \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & +// ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) # (!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ) # ((!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout )))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder[31] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .lut_mask = 64'h5540554055445544; +defparam \neorv32_top_inst|neorv32_busswitch_inst|Selector5~2 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X74_Y8_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 ( +// Location: LABCELL_X55_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31] & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31] & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14] & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ) ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [14]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), + .datab(gnd), + .datac(gnd), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~2_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|ca_rd_req_buf~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .lut_mask = 64'h03000300F3FFF3FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .lut_mask = 64'hFF00FF0000000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X77_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 ( +// Location: LABCELL_X43_Y16_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout = ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q )) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q & -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]) ) ) ) +// \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout & \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|ctrl.cp_op_ff[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.sign_mod~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [63]), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|mul.prod [31]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[6]~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~50_combout ), + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.resp~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~q ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~2_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .lut_mask = 64'h00AA0A0A44EE4E4E; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .lut_mask = 64'h00F000F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N33 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 ( +// Location: FF_X43_Y16_N13 +dffeas \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~0_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X43_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout = SUM(( GND ) + ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q )))) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13] & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31])))) ) + ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 )) +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout )) # +// (\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ))) ) ) ) # ( !\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25] ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [13]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[14]~DUPLICATE_q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.quotient[31]~DUPLICATE_q ), - .datad(gnd), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|div.remainder [31]), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|cb_rd_req_buf~DUPLICATE_q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~1_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|i_bus_re_o~0_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~0_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|Selector5~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|fetch_engine.pc [25]), .datag(gnd), - .cin(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~122 ), + .cin(gnd), .sharein(gnd), - .combout(), - .sumout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), + .combout(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout ), + .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .lut_mask = 64'h000002DF00000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7 .lut_mask = 64'h00000000FFFF0075; +defparam \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N48 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 ( +// Location: LABCELL_X43_Y15_N3 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ) ) ) +// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout & +// !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ))) ) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[19]~4_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~0_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|res_o[31]~51_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_muldiv_inst_true:neorv32_cpu_cp_muldiv_inst|Add6~125_sumout ), + .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~7_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~6_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[27]~4_combout ), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[26]~5_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[25]~8_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), + .combout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .lut_mask = 64'h000000FF003300FF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .lut_mask = 64'h8000000000000000; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X73_Y8_N57 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5 ( +// Location: MLABCELL_X39_Y14_N42 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0] & -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]) # -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout )))) ) ) +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout = ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout & +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux [0]), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|neorv32_cpu_cp_shifter_inst|shifter.sreg [31]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux18~1_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_mux[1]~DUPLICATE_q ), + .dataa(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~0_combout ), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[24]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[31]~45_combout ), + .datad(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|Equal0~5_combout ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_re_o~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout ), + .combout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5 .lut_mask = 64'hA800A80000000000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .lut_mask = 64'h0040004000000000; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X68_Y8_N42 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 ( +// Location: FF_X39_Y14_N43 +dffeas \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~0_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(vcc), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden .power_up = "low"; +// synopsys translate_on + +// Location: M10K_X38_Y23_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rd[0]~0_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_dmem:\neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: M10K_X58_Y17_N0 +cyclonev_ram_block \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 ( + .portawe(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3~22_combout ), + .portare(vcc), + .portaaddrstall(gnd), + .portbwe(gnd), + .portbre(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_b3_rd[0]~1_combout ), + .portbaddrstall(gnd), + .clk0(\clk_i~inputCLKENA0_outclk ), + .clk1(gnd), + .ena0(vcc), + .ena1(vcc), + .ena2(vcc), + .ena3(vcc), + .clr0(gnd), + .clr1(gnd), + .nerror(vcc), + .portadatain({\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]}), + .portaaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portabyteenamasks(1'b1), + .portbdatain(1'b0), + .portbaddr({\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[14]~37_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[13]~36_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[12]~35_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[11]~34_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[10]~33_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[9]~46_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[8]~42_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[7]~41_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[6]~40_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[5]~39_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[4]~38_combout ,\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout , +\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout }), + .portbbyteenamasks(1'b1), + .devclrn(devclrn), + .devpor(devpor), + .portadataout(), + .portbdataout(\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5_PORTBDATAOUT_bus ), + .eccstatus(), + .dftout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_offset_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .data_interleave_width_in_bits = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .logical_ram_name = "neorv32_top:neorv32_top_inst|neorv32_imem:\neorv32_int_imem_inst_true:neorv32_int_imem_inst|altsyncram:mem_ram_b3_rtl_0|altsyncram_s6q1:auto_generated|ALTSYNCRAM"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .mixed_port_feed_through_mode = "old"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .operation_mode = "dual_port"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_byte_enable_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_a_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_address_width = 13; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clear = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_out_clock = "none"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_data_width = 1; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_address = 0; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_first_bit_number = 5; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_last_address = 8191; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_depth = 8192; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_logical_ram_width = 8; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_during_write_mode = "new_data_no_nbe_read"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .port_b_read_enable_clock = "clock0"; +defparam \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5 .ram_block_type = "M20K"; +// synopsys translate_on + +// Location: MLABCELL_X39_Y15_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( -// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2])) # -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ))) ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( -// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ) ) ) +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( +// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ) # (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( +// \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]) ) ) ) # ( +// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29] & ( !\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & +// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~2_combout ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.alu_op [2]), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Mux31~5_combout ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~4_combout ), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~5_combout ), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_alu_inst|Add1~61_sumout ), + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [29]), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [29]), + .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [29]), + .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [29]), + .dataf(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3_combout ), + .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .lut_mask = 64'hFF001100FF001F00; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|Mux0~3 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .lut_mask = 64'h1D1D1D1D0033CCFF; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N59 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] ( +// Location: FF_X39_Y15_N25 +dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [29]), + .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~11_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X62_Y15_N59 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] ( +// Location: FF_X45_Y15_N29 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[28]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[29] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder ( +// Location: MLABCELL_X47_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2] ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [2]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X56_Y15_N27 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder ( +// Location: FF_X47_Y15_N31 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29]~feeder_combout ), + .asdata(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[1]~0_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi[29] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X45_Y15_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2] +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout = ( \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29] & ( (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & +// ((\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29]) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29] & ( +// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29])) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [2]), - .datab(gnd), - .datac(gnd), - .datad(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~1_combout ), + .datac(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [29]), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_hi [29]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .lut_mask = 64'h5555555555555555; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .lut_mask = 64'h0030003003330333; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X56_Y15_N28 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] ( +// Location: FF_X45_Y15_N40 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [3]), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o~11_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(gnd), - .sload(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), + .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|rden~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [2]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X57_Y15_N20 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] ( +// Location: LABCELL_X42_Y12_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout = !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9] + + .dataa(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine.sreg [9]), + .datad(gnd), + .datae(gnd), + .dataf(gnd), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .lut_mask = 64'hF0F0F0F0F0F0F0F0; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0 .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X42_Y12_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [2]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9]~0_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[3]~0_combout ), - .sload(\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .ena(vcc), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.we~combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf[9] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 ( +// Location: LABCELL_X40_Y13_N21 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 ( // Equation(s): -// \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout = ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ))) ) ) ) # ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & -// (((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2])) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ))) ) ) ) # ( \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( -// !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & ((!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ) # -// ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2])))) # (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & -// (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]))) ) ) ) # ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2] & ( !\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2] & ( -// (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & ((\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2])))) # -// (\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout & (!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout & (\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]))) ) ) ) - - .dataa(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~1_combout ), - .datab(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_hi [2]), - .datad(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_lo [2]), - .datae(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtime_lo [2]), - .dataf(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|mtimecmp_hi [2]), +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout = ( \neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout & ( \neorv32_top_inst|io_rden~combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9] & +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.empty~combout ), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|rx_engine_fifo_inst|fifo.buf [9]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[2]~0_combout ), + .dataf(!\neorv32_top_inst|io_rden~combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .lut_mask = 64'h04268CAE15379DBF; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .lut_mask = 64'h0000000000000101; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X61_Y15_N55 -dffeas \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] ( +// Location: FF_X40_Y13_N22 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o~5_combout ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o~4_combout ), .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|rden~combout ), + .sclr(gnd), .sload(gnd), .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o[29] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N35 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [2]), - .prn(vcc)); +// Location: LABCELL_X42_Y13_N0 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~29 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~29_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29] & ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] & (!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29])) ) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29] & ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 & ( +// (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29] & !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [29]), + .datab(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), + .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [29]), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [29]), + .dataf(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a29 ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~29_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2] .power_up = "low"; +defparam \neorv32_top_inst|rdata_v~29 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~29 .lut_mask = 64'hA0A0000080800000; +defparam \neorv32_top_inst|rdata_v~29 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X64_Y16_N36 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder ( +// Location: LABCELL_X45_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|rdata_v~30 ( +// Equation(s): +// \neorv32_top_inst|rdata_v~30_combout = ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout & ( \neorv32_top_inst|rdata_v~29_combout & ( +// ((\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout )) # +// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout & ( \neorv32_top_inst|rdata_v~29_combout +// & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q & \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ) ) ) ) # ( +// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout & ( !\neorv32_top_inst|rdata_v~29_combout ) ) # ( +// !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout & ( !\neorv32_top_inst|rdata_v~29_combout ) ) + + .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~q ), + .datab(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b3_rtl_0|auto_generated|ram_block1a5~portbdataout ), + .dataf(!\neorv32_top_inst|rdata_v~29_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|rdata_v~30_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|rdata_v~30 .extended_lut = "off"; +defparam \neorv32_top_inst|rdata_v~30 .lut_mask = 64'hFFFFFFFF03035757; +defparam \neorv32_top_inst|rdata_v~30 .shared_arith = "off"; +// synopsys translate_on + +// Location: LABCELL_X45_Y13_N36 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder_combout = \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [2] +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout = ( \neorv32_top_inst|rdata_v~30_combout ) .dataa(gnd), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [2]), + .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(gnd), + .dataf(!\neorv32_top_inst|rdata_v~30_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N37 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] ( +// Location: FF_X45_Y13_N37 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~feeder_combout ), - .asdata(\~GND~combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), - .sclr(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2]~1_combout ), - .sload(!\neorv32_top_inst|neorv32_busswitch_inst|p_bus_addr_o[3]~0_combout ), - .ena(vcc), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][1]~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y15_N27 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~11 ( +// Location: MLABCELL_X47_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5 ( // Equation(s): -// \neorv32_top_inst|rdata_v~11_combout = ( !\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18] & ( (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2] & (!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2] & -// !\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2])) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout )) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout ))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q )) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|data_o [2]), - .datac(!\neorv32_top_inst|neorv32_mtime_inst_true:neorv32_mtime_inst|data_o [2]), - .datad(!\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|data_o [2]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[0][13]~q ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.align~DUPLICATE_q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[29]~3_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.data[1][13]~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_sysinfo_inst|data_o [18]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:1:prefetch_buffer_inst|fifo.r_pnt[0]~DUPLICATE_q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~11_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~11 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~11 .lut_mask = 64'hC000C00000000000; -defparam \neorv32_top_inst|rdata_v~11 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5 .lut_mask = 64'h1D1D1D1D0C3F0C3F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N15 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~12 ( +// Location: LABCELL_X50_Y15_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 ( // Equation(s): -// \neorv32_top_inst|rdata_v~12_combout = ( \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( (\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) # -// (\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) ) # ( !\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 & ( -// \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( \neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ) ) ) # ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 & ( !\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout & ( -// \neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout = ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout & +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ))) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ) ) ) - .dataa(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|rden~DUPLICATE_q ), - .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|rden~DUPLICATE_q ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_int_dmem_inst_true:neorv32_int_dmem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2 ), - .dataf(!\neorv32_top_inst|neorv32_int_imem_inst_true:neorv32_int_imem_inst|mem_ram_b0_rtl_0|auto_generated|ram_block1a2~portbdataout ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[6]~15_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[5]~0_combout ), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux18~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~12_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~12 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~12 .lut_mask = 64'h00000F0F55555F5F; -defparam \neorv32_top_inst|rdata_v~12 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .lut_mask = 64'h2222222200020002; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X57_Y15_N42 -cyclonev_lcell_comb \neorv32_top_inst|rdata_v~13 ( +// Location: LABCELL_X51_Y15_N30 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5 ( // Equation(s): -// \neorv32_top_inst|rdata_v~13_combout = ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( \neorv32_top_inst|rdata_v~12_combout ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( -// \neorv32_top_inst|rdata_v~12_combout ) ) # ( \neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|rdata_v~12_combout & ( (!\neorv32_top_inst|rdata_v~11_combout ) # -// (\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 ) ) ) ) # ( !\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q & ( !\neorv32_top_inst|rdata_v~12_combout & ( -// !\neorv32_top_inst|rdata_v~11_combout ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout )) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout & ( +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout )))) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ))) ) ) - .dataa(gnd), - .datab(!\neorv32_top_inst|rdata_v~11_combout ), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|Mux26_rtl_0|auto_generated|ram_block1a3 ), - .datae(!\neorv32_top_inst|neorv32_boot_rom_inst_true:neorv32_boot_rom_inst|rden~q ), - .dataf(!\neorv32_top_inst|rdata_v~12_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[2]~11_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[14]~3_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Equal2~1_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~0_combout ), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|rdata_v~13_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|rdata_v~13 .extended_lut = "off"; -defparam \neorv32_top_inst|rdata_v~13 .lut_mask = 64'hCCCCCCFFFFFFFFFF; -defparam \neorv32_top_inst|rdata_v~13 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5 .lut_mask = 64'h0F5F15150F5F1111; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X57_Y15_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[2] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|rdata_v~13_combout ), - .asdata(vcc), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.we[1]~0_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [2]), - .prn(vcc)); +// Location: LABCELL_X51_Y15_N48 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117 ( +// Equation(s): +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout )))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout & (((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout & +// (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ))) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5_combout ))) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout & ( +// (((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ))) ) ) + + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[13]~5_combout ), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[15]~2_combout ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.ci_i16[12]~12_combout ), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[30]~20_combout ), + .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine~1_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|neorv32_cpu_decompressor_inst_true:neorv32_cpu_decompressor_inst|Mux41~5_combout ), + .datag(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~14_combout ), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117_combout ), + .sumout(), + .cout(), + .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117 .lut_mask = 64'h08080F0F08FF0F0F; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y11_N43 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE ( +// Location: FF_X51_Y15_N49 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|prefetch_buffer:0:prefetch_buffer_inst|fifo.buf [2]), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|issue_engine.data[12]~117_combout ), + .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ipb.re [0]), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[12] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X71_Y12_N9 +// Location: LABCELL_X55_Y17_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( // (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & -// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q & -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12])))) ) ) +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q & +// ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ) # ((\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12] & +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2])))) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg[2]~DUPLICATE_q ), - .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), - .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [12]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.TRAP_EXECUTE~q ), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.i_reg [2]), + .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCH~q ), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~11_combout ), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.branch_taken~10_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), @@ -95530,11 +108191,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst| .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .lut_mask = 64'hE0C0E0C0C0E0C0E0; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .lut_mask = 64'hCC40CC40CC80CC80; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X71_Y12_N10 +// Location: FF_X55_Y17_N1 dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|Selector67~0_combout ), @@ -95553,34 +108214,34 @@ defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_eng defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X66_Y11_N18 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0 ( +// Location: MLABCELL_X52_Y15_N12 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout = ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), - .dataf(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|execute_engine.state.BRANCHED~q ), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0_combout ), + .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0 .lut_mask = 64'hFFFF0000FFFF0000; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .lut_mask = 64'hFFFFFFFF00000000; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X66_Y11_N19 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we ( +// Location: FF_X52_Y15_N13 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~0_combout ), + .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23]~1_combout ), .asdata(vcc), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), @@ -95589,27 +108250,25 @@ dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_ .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we .power_up = "low"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl[23] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X61_Y11_N3 +// Location: LABCELL_X53_Y15_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & ( -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ) ) ) # ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & -// ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ) ) ) # ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout -// & ( (!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]) # (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q & ( !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ) ) ) +// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23] ) ) # ( +// !\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout & ( ((!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1] & \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0])) # +// (\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]) ) ) - .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_zero_we~q ), - .datab(gnd), - .datac(gnd), - .datad(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), - .datae(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.rf_wb_en~q ), + .dataa(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [23]), + .datab(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|trap_ctrl.exc_buf [1]), + .datac(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [0]), + .datad(gnd), + .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|illegal_cmd~0_combout ), .datag(gnd), .cin(gnd), @@ -95620,159 +108279,178 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst| .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .lut_mask = 64'h5555FF5555555555; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .lut_mask = 64'h5D5D5D5D55555555; defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|rf_we~0 .shared_arith = "off"; // synopsys translate_on -// Location: LABCELL_X67_Y14_N6 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder ( +// Location: FF_X47_Y18_N53 +dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(gnd), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(vcc), + .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl [39]), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X46_Y16_N39 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31] ) +// \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0] ) .dataa(gnd), .datab(gnd), .datac(gnd), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_regfile_inst|reg_file[0][31]__2|auto_generated|q_b [31]), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), .datag(gnd), .cin(gnd), .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder_combout ), + .combout(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder_combout ), .sumout(), .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X67_Y14_N8 -dffeas \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] ( +// Location: FF_X46_Y16_N41 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0]~feeder_combout ), + .d(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~feeder_combout ), .asdata(vcc), - .clrn(vcc), + .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(gnd), - .ena(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_control_inst|ctrl.bus_mo_we~q ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N28 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0] ( +// Location: FF_X45_Y15_N25 +dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), .clrn(\neorv32_top_inst|rstn_int~q ), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[0]~0_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout [0]), + .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout_lo[2]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N31 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE ( +// Location: FF_X36_Y13_N11 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout ), + .asdata(vcc), + .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .sload(gnd), + .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[1]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] .power_up = "low"; +// synopsys translate_on + +// Location: LABCELL_X37_Y13_N45 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout & ( \neorv32_top_inst|Equal0~5_combout & ( +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout & (\neorv32_top_inst|Equal0~7_combout & \neorv32_top_inst|io_wren~1_combout )) ) ) ) + + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), + .datab(!\neorv32_top_inst|Equal0~7_combout ), + .datac(!\neorv32_top_inst|io_wren~1_combout ), + .datad(gnd), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Equal0~0_combout ), + .dataf(!\neorv32_top_inst|Equal0~5_combout ), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .lut_mask = 64'h0000000000000101; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y16_N34 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE ( +// Location: FF_X37_Y13_N47 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[2]~DUPLICATE .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y16_N7 -dffeas \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE ( +// Location: FF_X37_Y13_N53 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), - .clrn(\neorv32_top_inst|rstn_int~q ), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .clrn(vcc), .aload(gnd), .sclr(gnd), .sload(vcc), - .ena(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[0]~0_combout ), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE_q ), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_gpio_inst_true:neorv32_gpio_inst|dout[4]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y15_N54 -cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 ( -// Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout = ( \neorv32_top_inst|Equal0~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout & ( -// (\neorv32_top_inst|io_wren~0_combout & (\neorv32_top_inst|Equal0~2_combout & (\neorv32_top_inst|Equal0~1_combout & \neorv32_top_inst|Equal0~5_combout ))) ) ) ) - - .dataa(!\neorv32_top_inst|io_wren~0_combout ), - .datab(!\neorv32_top_inst|Equal0~2_combout ), - .datac(!\neorv32_top_inst|Equal0~1_combout ), - .datad(!\neorv32_top_inst|Equal0~5_combout ), - .datae(!\neorv32_top_inst|Equal0~0_combout ), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~0_combout ), - .datag(gnd), - .cin(gnd), - .sharein(gnd), - .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .sumout(), - .cout(), - .shareout()); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .lut_mask = 64'h0000000000000001; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1 .shared_arith = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N5 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] ( +// Location: FF_X37_Y13_N44 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -95780,14 +108458,14 @@ dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fi .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N59 +// Location: FF_X37_Y13_N50 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), @@ -95806,15 +108484,39 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N29 +// Location: LABCELL_X37_Y13_N27 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y13_N29 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), @@ -95825,30 +108527,54 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N10 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] ( +// Location: LABCELL_X37_Y13_N24 +cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder ( +// Equation(s): +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder_combout = ( \neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7] ) + + .dataa(gnd), + .datab(gnd), + .datac(gnd), + .datad(gnd), + .datae(gnd), + .dataf(!\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .datag(gnd), + .cin(gnd), + .sharein(gnd), + .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), + .sumout(), + .cout(), + .shareout()); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder .extended_lut = "off"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder .lut_mask = 64'h00000000FFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder .shared_arith = "off"; +// synopsys translate_on + +// Location: FF_X37_Y13_N25 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] ( .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), + .asdata(vcc), .clrn(vcc), .aload(gnd), .sclr(gnd), - .sload(vcc), + .sload(gnd), .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N1 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] ( +// Location: FF_X37_Y13_N23 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [7]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -95856,18 +108582,18 @@ dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fi .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] .power_up = "low"; // synopsys translate_on -// Location: FF_X64_Y15_N26 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] ( +// Location: FF_X37_Y13_N19 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [4]), + .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [6]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -95875,34 +108601,33 @@ dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fi .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6]), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[4] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[6] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X64_Y15_N0 +// Location: LABCELL_X37_Y13_N18 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6])) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6])) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] $ -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6])) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] $ +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4])) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4])) ) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4])) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4])) ) ) ) .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4]), .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [4]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [6]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [22]), .datag(gnd), .cin(gnd), .sharein(gnd), @@ -95916,65 +108641,26 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0 .lu defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N7 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [3]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[3] .power_up = "low"; -// synopsys translate_on - -// Location: FF_X64_Y15_N56 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [0]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0]), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[0] .power_up = "low"; -// synopsys translate_on - -// Location: LABCELL_X64_Y15_N6 +// Location: LABCELL_X37_Y13_N48 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3] & ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout )) ) ) ) # ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout )) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] & ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] $ -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout )) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout +// & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3])) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3])) ) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] $ (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3])) ) ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1] & ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0] $ +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2] $ (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3])) ) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2]), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout ), - .datad(gnd), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3]), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0]), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [0]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [3]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~0_combout ), .datag(gnd), .cin(gnd), .sharein(gnd), @@ -95984,24 +108670,27 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1 .lut_mask = 64'h6969969696966969; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1 .lut_mask = 64'h3CC3C33CC33C3CC3; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1 .shared_arith = "off"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N48 +// Location: LABCELL_X36_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout & ( -// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout )) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) -// ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9] ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout = ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9])))) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & (((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9])))) +// # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28] & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]) # ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout )))))) ) ) # ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout & (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl +// [28]))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9])) ) ) - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9]), - .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.bitcnt[1]~0_combout ), - .datag(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [23]), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9]), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|ctrl [28]), + .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|sreg~1_combout ), + .datag(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), .cin(gnd), .sharein(gnd), .combout(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout ), @@ -96009,13 +108698,13 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .cout(), .shareout()); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 .lut_mask = 64'h00FF00FFDFDFDFDF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 .extended_lut = "on"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 .lut_mask = 64'h333A33F3333F33F3; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N50 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] ( +// Location: FF_X36_Y13_N10 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~0_combout ), .asdata(vcc), @@ -96026,20 +108715,20 @@ dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sr .ena(vcc), .devclrn(devclrn), .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9]), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE_q ), .prn(vcc)); // synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9] .power_up = "low"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N33 +// Location: LABCELL_X37_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9] +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE_q - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [9]), - .datab(gnd), + .dataa(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[9]~DUPLICATE_q ), .datac(gnd), .datad(gnd), .datae(gnd), @@ -96053,15 +108742,34 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder .lut_mask = 64'h3333333333333333; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N35 +// Location: FF_X37_Y13_N26 +dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE ( + .clk(\clk_i~inputCLKENA0_outclk ), + .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~feeder_combout ), + .asdata(vcc), + .clrn(vcc), + .aload(gnd), + .sclr(gnd), + .sload(gnd), + .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), + .devclrn(devclrn), + .devpor(devpor), + .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE_q ), + .prn(vcc)); +// synopsys translate_off +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE .is_wysiwyg = "true"; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE .power_up = "low"; +// synopsys translate_on + +// Location: FF_X37_Y13_N14 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [7]), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[7]~DUPLICATE_q ), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -96076,7 +108784,7 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[8] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N36 +// Location: LABCELL_X37_Y13_N9 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [8] @@ -96100,7 +108808,7 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N38 +// Location: FF_X37_Y13_N11 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7]~feeder_combout ), @@ -96119,14 +108827,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[7] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N39 +// Location: LABCELL_X37_Y13_N57 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [7] - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [7]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [7]), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96139,34 +108847,15 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N28 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [5]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: FF_X65_Y15_N41 +// Location: FF_X37_Y13_N58 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[5]~DUPLICATE_q ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [5]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -96181,14 +108870,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[6] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N30 +// Location: LABCELL_X37_Y13_N54 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [6] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [6]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [6]), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96201,11 +108890,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N32 +// Location: FF_X37_Y13_N56 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5]~feeder_combout ), @@ -96224,14 +108913,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[5] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N12 +// Location: LABCELL_X37_Y13_N0 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [5] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [5]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [5]), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96244,11 +108933,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N14 +// Location: FF_X37_Y13_N2 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4]~feeder_combout ), @@ -96267,14 +108956,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[4] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N15 +// Location: LABCELL_X37_Y13_N3 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [4] - .dataa(gnd), + .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [4]), .datab(gnd), - .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [4]), + .datac(gnd), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96287,34 +108976,15 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder .lut_mask = 64'h5555555555555555; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N4 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [2]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: FF_X65_Y15_N17 +// Location: FF_X37_Y13_N5 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[2]~DUPLICATE_q ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [2]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -96329,14 +108999,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[3] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N24 +// Location: LABCELL_X37_Y13_N6 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [3] .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [3]), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [3]), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96349,34 +109019,15 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder .lut_mask = 64'h3333333333333333; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X64_Y15_N58 -dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE ( - .clk(\clk_i~inputCLKENA0_outclk ), - .d(gnd), - .asdata(\neorv32_top_inst|neorv32_cpu_inst|neorv32_cpu_bus_inst|d_bus_wdata_o [1]), - .clrn(vcc), - .aload(gnd), - .sclr(gnd), - .sload(vcc), - .ena(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.we~1_combout ), - .devclrn(devclrn), - .devpor(devpor), - .q(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE_q ), - .prn(vcc)); -// synopsys translate_off -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE .is_wysiwyg = "true"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE .power_up = "low"; -// synopsys translate_on - -// Location: FF_X65_Y15_N26 +// Location: FF_X37_Y13_N8 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2]~feeder_combout ), - .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf[1]~DUPLICATE_q ), + .asdata(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine_fifo_inst|fifo.buf [1]), .clrn(vcc), .aload(gnd), .sclr(gnd), @@ -96391,14 +109042,14 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[2] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N27 +// Location: LABCELL_X37_Y13_N15 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder ( // Equation(s): // \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder_combout = \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [2] - .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [2]), + .dataa(gnd), .datab(gnd), - .datac(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [2]), .datad(gnd), .datae(gnd), .dataf(gnd), @@ -96411,11 +109062,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder .lut_mask = 64'h5555555555555555; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder .lut_mask = 64'h0F0F0F0F0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N28 +// Location: FF_X37_Y13_N16 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1]~feeder_combout ), @@ -96434,22 +109085,22 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[1] .power_up = "low"; // synopsys translate_on -// Location: MLABCELL_X65_Y15_N45 +// Location: LABCELL_X36_Y13_N12 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) ) ) # ( -// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] & ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1] & ( -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ) ) ) ) # ( -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] & ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1] & ( -// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q )) # -// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ))) ) ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1] & ( +// (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q & +// ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0])))) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & +// (((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ) # (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0])))) ) ) # ( +// !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1] & ( (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] & +// ((!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & (!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q )) # +// (\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ((\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ))))) ) ) .dataa(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_GET~q ), - .datab(gnd), + .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine~5_combout ), - .datae(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0]), + .datad(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0]), + .datae(gnd), .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [1]), .datag(gnd), .cin(gnd), @@ -96460,11 +109111,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0 .lut_mask = 64'h0000AFA0000FAFAF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0 .lut_mask = 64'h00A300A30CAF0CAF; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X65_Y15_N46 +// Location: FF_X36_Y13_N13 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[0] ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|Selector19~0_combout ), @@ -96483,18 +109134,18 @@ defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine. defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg[0] .power_up = "low"; // synopsys translate_on -// Location: LABCELL_X55_Y15_N24 +// Location: LABCELL_X37_Y12_N24 cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0 ( // Equation(s): -// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] & -// ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) ) +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0_combout = ( \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q & ( +// \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0] ) ) # ( !\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ) .dataa(gnd), - .datab(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), - .datac(gnd), + .datab(gnd), + .datac(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0]), .datad(gnd), .datae(gnd), - .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.sreg [0]), + .dataf(!\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|tx_engine.state.S_TX_TRANSMIT~q ), .datag(gnd), .cin(gnd), .sharein(gnd), @@ -96504,11 +109155,11 @@ cyclonev_lcell_comb \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst .shareout()); // synopsys translate_off defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0 .extended_lut = "off"; -defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0 .lut_mask = 64'hCCCCCCCCFFFFFFFF; +defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0 .lut_mask = 64'hFFFFFFFF0F0F0F0F; defparam \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0 .shared_arith = "off"; // synopsys translate_on -// Location: FF_X55_Y15_N25 +// Location: FF_X37_Y12_N25 dffeas \neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o ( .clk(\clk_i~inputCLKENA0_outclk ), .d(\neorv32_top_inst|neorv32_uart0_inst_true:neorv32_uart0_inst|uart_txd_o~0_combout ), diff --git a/proj_quartus/test.qsf b/proj_quartus/test.qsf index 57182b35d..0d6836dee 100644 --- a/proj_quartus/test.qsf +++ b/proj_quartus/test.qsf @@ -42,7 +42,7 @@ set_global_assignment -name DEVICE 5CSEMA5F31C6 set_global_assignment -name TOP_LEVEL_ENTITY neorv32_test_setup_bootloader set_global_assignment -name ORIGINAL_QUARTUS_VERSION 22.1STD.0 set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:15:36 MARCH 06, 2023" -set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Lite Edition" +set_global_assignment -name LAST_QUARTUS_VERSION "22.1std.0 Standard Edition" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name BOARD "DE1-SoC Board" set_global_assignment -name EDA_SIMULATION_TOOL "Questa Intel FPGA (Verilog)" diff --git a/proj_quartus/test.qws b/proj_quartus/test.qws deleted file mode 100644 index 429b28a99..000000000 Binary files a/proj_quartus/test.qws and /dev/null differ